在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2179|回复: 3

[求助] assertion 求助

[复制链接]
发表于 2016-2-29 15:23:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近做一个assertion,要求在信号rd为高时,判断信号addr保持时间是否达到最小保持时间我写了一个assertion但是语法总是不过,求教一下这么写到底哪有问题:
property aaa;
    (rd == 1) throughout( @(posedge clk) addr_dly != addr |-> ##[350] addr_dly != addr);
endproperty
assert property(aaa);
 楼主| 发表于 2016-2-29 15:54:31 | 显示全部楼层
怎么出来了个表情。。。。 表情那个地方是 : $
发表于 2018-6-7 14:11:06 | 显示全部楼层
normally, we often write it like this:
property aaa;
    @(posedge clk)
     $rose(rd) |-> (rd == 1) throughout(( addr_dly != addr)[*350]);
endproperty
assert property(aaa);
发表于 2018-8-7 15:21:14 | 显示全部楼层
表情很到位
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 01:11 , Processed in 0.026084 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表