在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: mazifa

[求助] VCS DUMP FSDB 求助

[复制链接]
发表于 2015-11-3 11:56:26 | 显示全部楼层
学习学习
发表于 2015-11-5 15:50:17 | 显示全部楼层
不止楼主是否已经解决问题,建议先将将VCS的版本换成2013.06试一下。
发表于 2016-6-2 12:45:12 | 显示全部楼层
在你的 tb file再加点东西,
initial begin

$fsdbDumpvars;

end

不然的话,按照你的情况,应该不会出fsdb波形文件的。
发表于 2018-2-7 15:16:55 | 显示全部楼层
楼主解决问题了吗?????????遇到同样的问题了
发表于 2018-9-26 05:26:28 | 显示全部楼层
楼主解决问题了吗?????????遇到同样的问题了
发表于 2018-10-10 20:58:35 | 显示全部楼层
暂且忽略warning,保存下来的FSDB文件可以用verdi打开吗?波形是正确的吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:30 , Processed in 0.016236 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表