在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 36 

想学System verilog 有什么好书没 attachment  ...23456..7 tory60515 2007-10-24 6830821 yangyu8301 2018-5-24 11:06
[求助] systemverilog里面怎么吧字符串和变量连起来?  ...2 zzczx 2012-2-24 1219755 yongyuan_3166 2018-5-22 11:40
[求助] (已解决)跑uvm仿真提示rsp_export Connection Error和BUILDERR mila07 2018-5-16 25441 mila07 2018-5-19 22:34
[求助] Assertion的连续重复运算符的重复次数一定要是固定值吗? xhly2013 2016-6-6 83111 wei_wilson 2018-5-19 14:10
[求助] VCS2012.09测代码覆盖率,提示cmView未安装,该如何解决? attach_img  ...2 lmwzm 2013-8-1 105871 cxs2002 2018-5-18 16:18
[求助] hspice 仿真输出 e07610230 2012-6-16 22076 quhuaibo 2018-5-17 21:34
[讨论] 关于formal verification和model checking的问题 eyeloveu 2010-5-10 84750 lilins 2018-5-16 15:48
[求助] perl调用calibre跑PEX的问题 attach_img moyunming 2017-8-16 31687 quhuaibo 2018-5-15 17:54
[求助] Calibre2010 PEX生成CalibreView问题 mmx20031221 2016-9-14 54153 quhuaibo 2018-5-14 22:53
[求助] verilogA建模 yhdhdlp 2012-8-3 23102 yuwenjuan 2018-5-14 09:28
[求助] systemverilog里一个冒号表示啥意思 ? american007 2018-5-9 33370 yuanpin318 2018-5-11 09:29
[讨论] 关于SPI的问题汇总,跪求大牛前来解答!!!  ...2 yongyuan_3166 2014-7-23 136943 shanzhizilan 2018-5-10 21:45
[求助] 如何用interface访问dut内部过程中产生的信号 wenhuajianyuan 2018-5-9 21856 qq354790995 2018-5-9 21:27
[原创] 说来惭愧,小弟做验证,用的是SV,但什么UVM.OVM之类从来没用过  ...2 alexztenuaa 2014-5-17 106530 naonaoli 2018-5-9 16:56
[求助] 下面的代码 animal_cage所指的最后一个对象chicken_cage 会一直存在吧? american007 2018-5-5 21461 american007 2018-5-8 14:52
[求助] 问大家一个问题,验证和DFT工程师哪个难做点? 苍天有井自来空 2018-5-6 22909 yuanpin318 2018-5-8 09:26
[求助] create_clock 和generated_clock设置在哪儿合适 494693243 2018-5-5 21760 yvhksovo 2018-5-6 21:24
[求助] cast和UVM_ACTIVE一般什么时候才用? qwer2016 2018-5-6 12055 yvhksovo 2018-5-6 21:23
[求助] 目前在一家国企做FPGA,想转UVM验证。  ...2 苍天有井自来空 2018-4-10 104793 13140210064 2018-5-6 00:05
[求助] 求助大神 代码出现死循环了 attach_img  ...2 冰馨若颜 2017-12-14 165123 494693243 2018-5-5 22:59
[求助] 求大家给一些测试工程实例呗? 苍天有井自来空 2018-4-11 31611 乐在其中296 2018-5-3 18:30
[求助] ipsd怎么使用 caixicheng 2010-4-12 12516 天上掉个饼 2018-5-3 11:58
[求助] 启动dve以后没有字符显示 attach_img 萝小小卜 2016-4-15 42168 7号时代 2018-5-2 10:00
[求助] NCsim irun調試C有誤 tezhi 2018-1-8 22083 rssnitk 2018-5-1 22:51
[求助] uvm中,tb_top如何和VIP沟通? yuanpin318 2018-4-26 34366 dtyuzhou 2018-4-30 22:02
[求助] Illegal reference to net "ack".怎么解决这个问题? cC追Cc 2011-3-12 516466 yvhksovo 2018-4-29 22:33
[求助] 求助:我sequence中明明有定义regmodel的,为什么会说找不到呢? oscillator_cn1 2012-8-6 47506 yangdabao0529 2018-4-27 09:56
[求助] 新手请教大家一个问题 苍天有井自来空 2018-4-26 11757 wenhuajianyuan 2018-4-27 09:13
[求助] 信号级验证 yuanpin318 2018-4-23 21679 yuanpin318 2018-4-26 10:34
[求助] 基于纯SV的验证平台向UVM的转化 zyt123456 2018-4-9 32135 AnswerLJ 2018-4-26 00:29
[求助] UVM uvm_config_db请教 7号时代 2018-4-24 52539 7号时代 2018-4-25 16:49
[求助] 关于sv中的:: kalwen 2018-4-25 01607 kalwen 2018-4-25 15:03
[求助] 一个综合后仿真问题 attach_img zero6872 2014-10-24 94697 xmubull 2018-4-23 20:55
悬赏 [求助] modelsim生成vcd文件 - [悬赏 100 信元资产] suder 2018-4-20 51973 yuanpin318 2018-4-21 21:59
[求助] Cadence INCISIVE 无法查看波形的问题 (SST2 Interface Error) attach_img caowangyang 2018-4-21 02670 caowangyang 2018-4-21 18:45
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 03:38 , Processed in 0.027773 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块