在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 109010118

[求助] uvm reg_model 求助

[复制链接]
 楼主| 发表于 2015-5-30 19:49:04 | 显示全部楼层
回复 10# qyxu1979

非常感谢!
发表于 2015-6-4 21:40:53 | 显示全部楼层
sequence不是专门产生transaction的吗?为什么要这样做?
`uvm_do_on(send_seq,p_sequencer.send_seq);
`uvm_do_on(cpu_seq,p_sequencer.cpu_seq);
括号里面是不是应该是(cpu_seq,p_sequencer.cpu_seqr),(send_seq,p_sequencer.send_seqr)?楼主我是新手,麻烦给我说说,见谅了
发表于 2018-3-1 19:23:58 | 显示全部楼层
同报类似的错误。。。
发表于 2018-3-2 15:55:11 | 显示全部楼层
正解
发表于 2018-3-9 10:19:12 | 显示全部楼层
把env中实例化的rm连接到virtual sequencer中在virtual sequence中调用时:p_seqencer.rm.read()
发表于 2018-3-19 22:10:48 | 显示全部楼层
如果不像楼上诸位说的把ral句柄传来传去,可以将ral的例化放到最外面,这样环境中任何地方都能看见了
发表于 2019-3-5 16:46:56 | 显示全部楼层
按照《UVM实战》那本书的讲解,我觉得可以这样:1. 在要使用寄存器模型的sequence中定义一个指针:reg_model rm_p; 2. 在base_test中实例化reg_model, 以及 env.rm_p = this.rm_p ; 3. 在env中将寄存器模型和sequence连接,vsquence.rm_p = this.rm_p.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 01:01 , Processed in 0.024270 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表