在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 36 

[求助] nc verilog仿真时出现死循环 attach_img  ...23 冰馨若颜 2018-8-28 2610320 冰馨若颜 2018-9-4 14:41
[解决] nc_verilog如何dump出fsdb波形啊(已解决)  ...2 maggady 2012-8-24 1017753 冰馨若颜 2018-9-3 16:47
[原创] 华为_生产ESD培训教材 attachment  ...2345 linjun108 2010-6-20 4511414 duguhong 2018-9-1 13:42
[求助] vcs编译不通过 attach_img  ...2 lalala. 2018-8-28 143200 lalala. 2018-8-31 16:34
[求助] VCS2010.06能否编译uvm1.1的库? guiwn 2013-12-23 74048 gfangclara 2018-8-31 15:29
[求助] UVM求助 atlandis 2015-8-14 83088 gfangclara 2018-8-31 14:50
[求助] uvm juzhimin 2016-10-21 93487 gfangclara 2018-8-31 14:47
[资料] uvm connect_phase yuanpin318 2018-8-21 11934 irina 2018-8-30 10:35
[求助] uvm大神过来 attach_img lalala. 2018-8-29 11408 rosshardware 2018-8-30 09:30
[求助] ESD失效实例,分析与解决 marsveda 2015-10-26 21390 ni2121 2018-8-29 16:49
[求助] 问一个systemverilog 字符串串联的问题  ...2 oscillator_cn1 2012-3-1 1511588 uiwjyb 2018-8-29 15:27
[求助] vcs编译uvm平台报错 lalala. 2018-8-29 01491 lalala. 2018-8-29 14:34
[求助] 有没有大神帮一下我 attach_img lalala. 2018-8-28 01186 lalala. 2018-8-28 20:40
[求助] uvm Constrained random test yuanpin318 2018-8-27 11979 yuanpin318 2018-8-27 20:10
[讨论] 谁能给一个vcs跑uvm的makefile参考一下 lalala. 2018-8-27 01657 lalala. 2018-8-27 19:05
[求助] vcs2012支持在verdi中单步调试吗,help里只有-gui,但这个只能启动dve xshangyong 2018-7-30 52706 irina 2018-8-27 14:00
[求助] comparator in scoreboard yuanpin318 2018-8-24 01593 yuanpin318 2018-8-24 14:21
[原创] UVM属于前端的一部分还是 学习中ing 2018-8-13 62547 dok12 2018-8-23 22:24
[求助] USB 枚举测试过程 新嘴小王安子 2018-8-23 01394 新嘴小王安子 2018-8-23 20:40
[求助] TLM中的一些疑问? zqq624723237 2018-8-23 01474 zqq624723237 2018-8-23 12:19
[求助] UVM组件只能接收一种transaction吗? smmaxl 2014-2-17 52912 iNostory 2018-8-22 14:08
[讨论] mentor的官方文档中一个问题 静影沉璧 2018-8-22 01603 静影沉璧 2018-8-22 11:03
[讨论] 有关objection机制的思考  ...2 静影沉璧 2018-8-16 195967 rosshardware 2018-8-21 15:17
[求助] build_phase, run_phase yuanpin318 2018-8-21 31371 rosshardware 2018-8-21 15:03
[求助] module拿取cfg資料問題詢問 ntucomm 2018-8-21 01160 ntucomm 2018-8-21 14:57
[求助] CRC校验问题  ...2 新嘴小王安子 2018-7-30 114710 abinger 2018-8-20 17:42
[求助] 用Design Compiler对ISCAS89 benchmark换library  ...2 HOLLYSMOKE 2012-10-27 108356 awais980 2018-8-19 12:55
[求助] 关于ncverilog 统计反标率的问题 heroruirui 2018-8-19 02110 heroruirui 2018-8-19 08:31
[求助] uvm_monitor問題詢問 ntucomm 2018-8-6 31942 Marlbe_Yang 2018-8-18 13:33
[求助] 关于systemverilog中 iff 的用法问题 kimisdream 2018-6-11 43428 Marlbe_Yang 2018-8-18 13:28
[求助] 这两个assertion等价么 飞扬紫百合 2014-7-8 82832 Marlbe_Yang 2018-8-18 13:22
[讨论] 关于config_db传参数 静影沉璧 2018-8-16 81910 houxiangyun 2018-8-17 13:15
[求助] 请教一个UVM RAL backdoor的问题 attach_img gyuek 2018-6-28 23733 irina 2018-8-17 10:04
[求助] 请教,modelsim导入sdf,为什么会报错? even_ryen 2013-4-11 33635 阿星星爱学习 2018-8-17 09:35
[求助] post simulation 中的问题 494693243 2018-7-27 11632 liuchaogogo 2018-8-16 19:10
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 05:38 , Processed in 0.024989 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块