在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1707|回复: 8

[求助] 寄存器模型读写,在log中不打印信息

[复制链接]
发表于 2019-7-8 17:38:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
寄存器模型对寄存器读写操作后,波形显示读写正常,但是log中没有打印信息,正常应该[regmodel]。。。。。。为什么那?
发表于 2019-7-9 10:11:06 | 显示全部楼层
检查下Makefile,编译选项添加是否有冲突
 楼主| 发表于 2019-7-9 10:31:25 | 显示全部楼层


诸葛小天 发表于 2019-7-9 10:11
检查下Makefile,编译选项添加是否有冲突


编译选项怎么去看冲突那,只有一些系统的选项和自己设置的宏开关,请问寄存器模型的打印需要在编译选项中增加什么那?
发表于 2019-7-9 11:18:00 | 显示全部楼层
打印级别问题吧,ral的打印级别一般是UVM_HIGH,看看你自己设置的打印级别?
发表于 2019-7-9 13:35:45 | 显示全部楼层


乐在其中296 发表于 2019-7-9 10:31
编译选项怎么去看冲突那,只有一些系统的选项和自己设置的宏开关,请问寄存器模型的打印需要在编译选项中 ...


sim 命令加上    +UVM_VERBOSITY=UVM_HIGH
 楼主| 发表于 2019-7-9 14:01:16 | 显示全部楼层


诸葛小天 发表于 2019-7-9 13:35
sim 命令加上    +UVM_VERBOSITY=UVM_HIGH


好的,多谢,是这样的,但是有个新的问题,我的寄存器模型采用了predictor,现在不仅打印【RegModel】,还打印【REGPREDICTOR】数据的update等等,想关掉predictor的打印。在Makefile中设置全部打印优先级为UVM_HIGH是不行了,让其默认为UVM_MEDIUM,然后再base_case中使用set_report_verbosity_level_hier函数设置ral的打印阈值为UVM_LOW,会爆出ERROR,regmodel不是一个component,,emmm怎么办那
发表于 2019-7-9 14:45:09 | 显示全部楼层
log信息是可以根据ID进行屏蔽的,建议你去看一下UVM实战,这本书里面有讲,或者看UVM的官方文档也可,具体函数是set_report_id_verbosity("ID",verbosity_level),具体用法你看一下书就懂了
发表于 2019-7-9 17:04:50 | 显示全部楼层


乐在其中296 发表于 2019-7-9 14:01
好的,多谢,是这样的,但是有个新的问题,我的寄存器模型采用了predictor,现在不仅打印【RegModel】, ...


先在Makefile把所有设置为UVM_HIGH ; 然后在base_test 设置 env.reg_predictor.set_report_verbosity_level(UVM_NONE)   即可
 楼主| 发表于 2019-7-10 14:50:14 | 显示全部楼层


诸葛小天 发表于 2019-7-9 17:04
先在Makefile把所有设置为UVM_HIGH ; 然后在base_test 设置 env.reg_predictor.set_report_verbosity_le ...


可以了,多谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 03:36 , Processed in 0.025703 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表