在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3586|回复: 4

[求助] VCS中怎么运行带UVM库的程序

[复制链接]
发表于 2015-11-24 11:49:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
2.PNG 想试着用UVM运行一下这个例子,http://bbs.eetop.cn/thread-481243-1-1.html,参考了这个帖子配置了下平台,试了下和发帖的作者一致。
有两个问题,1,运行这样的程序应该用什么命令。我本来用的是VCS   *.sv  -sverilog
2.还有下面这种错误是因为哪里没有设置对啊,我对这个不熟练基本想不出应该去哪里找问题。除了环境变量还有什么需要注意???


                               
登录/注册后可看大图

希望有人可以告诉一下,十分感谢!
1.PNG
发表于 2015-12-25 11:42:49 | 显示全部楼层
如果你用这种方式的话,需要指定路径的,你可以把你完整的运行命令贴出来,我就能指出问题了

不知道你的vcs是什么版本,简单一点的话可以加上-ntb_opt uvm-1.1
发表于 2018-12-18 22:41:36 | 显示全部楼层
如果你用这种方式的话,需要指定路径的,你可以把你完整的运行命令贴出来,我就能指出问题了

不知道你的vcs是什么版本,简单一点的话可以加上-ntb_opt uvm-1.1
发表于 2019-3-6 09:41:16 | 显示全部楼层
1.uvm_pkg和uvm_macros.svh放在module test之前2.vcs -sverilog -full64 -ntb_opts uvm-1.1 *.sv
发表于 2019-3-6 10:07:18 | 显示全部楼层
VCS的option中加 -ntb_opt uvm-1.1
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:22 , Processed in 0.020088 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表