在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 115313|回复: 595

[原创] UVM+VCS+Verdi基本平台搭建:

[复制链接]
发表于 2015-4-1 16:47:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 9065574912 于 2015-4-1 20:39 编辑

随笔: UVM平台.pdf (550.07 KB, 下载次数: 5596 ) (贴出来的东西,图片显示不了,pdf是对应的详细教程)

也许平台不是很难,但是网上没有UVMVCS中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明UVM+VCS+Verdiliunx平台搭建过程(假设你已经安装好VCSverdi)、和Questa-sim+UVMwindow平台搭建。

UVM+VCS+Verdi基本平台:
准备:UVM库,网上很多,我们只需要一个版本的库即可,这里我上传了 uvm-1.1d.tar.gz (3.07 MB, 下载次数: 2648 ) uvm-1.1a.tar.gz (2.65 MB, 下载次数: 2307 ) 。这里以1-1a为例子说明。

第一步:uvm-1.1a.tar.gz放在linux系统中,放入后在进行解压。得到uvm-1.1a文件夹,该路径是库所在路径。放在什么地方无所谓,你一定要知道在哪里。

同时在.bashrc文件里面配置环境变量。在.bashrc文件中填加这句话。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image003.png

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image005.jpg

第二步:如下图,进入example目录,发现Makefile.vcs文件,该文件对于所有验证平台公用,里面主要是对UVM库进行编译。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image006.png

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image008.jpg

第三步:进入ubus/examples文件,该文件在利用《Systemverilog+UVM搭建SOCasicRTL验证环境.pdf文档》 利用Systemverilog+UVM搭建SOC及ASIC的RTL验证环境.pdf (2.93 MB, 下载次数: 4661 )

中有对该例子的讲解。可以看到该文件夹下面有Makefile.vcs文件,该文件是针对本设计的makefile文件,会调用前一个。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image010.jpg

第三步:输入命令:make  –f  Makefile.vcs。如果出现如下图的结果,则平台正确。。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image012.jpg

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image014.jpg

Makefile.vcs讲解

通过前面的例子证明我们的UVM平台已经可以使用,在example里面有很多例子,file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image016.jpg这两个文件夹里面的例子都进入文件夹内部执行make  –f  Makefile.vcs既可以运行,可以帮助我们学习。

其实我们在自己的实战过程中可以把上文中提到两个Makefile.vcs文件的内容复制到一个makfile文件中,file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image017.png hello_demo.rar (3.5 MB, 下载次数: 3838 )

该文件夹是张强UVM源码指南里面的例子,我把他在VCS中实现,用Verdi打开波形,下面的两个文件是新的makefle文件。。我们只需要输入make+红色的关键字(allcomprun等)就可以执行对应的命令。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image019.jpg

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image021.jpg


细心的可以发现,上面和平后的makefile文件和原来的两个Makefile.vcs不同之处是在VCS,后的选项中加入了-fsdb_old选项,这里是为了生成fsdb波形文件。

为了和-fsdb_old选项一致,我们需要在顶层加入文件(hello_tb_top)生成fsdb波形文件的函数

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image022.png

在第4行,make all中我们可以根据自己的需要选择执行的命令。我这里每次all,先clean,然后编译,然后执行,然后自动打开verdi文件。加入fsdb文件,我这里ctrl+w不行(求高手解决),不过get_all_signals后可以看到所有波形。

file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtmlclip1/01/clip_image024.jpg


Questa-sim+UVMwindow平台

参考《UVM初级开发指南.pdf》,这部分在eetop下载下来的其它人上传的材料,个人觉得不错。这里也上传吧。 UVM初级开发指南.pdf (1.2 MB, 下载次数: 3842 )

 楼主| 发表于 2015-4-1 17:13:14 | 显示全部楼层
上面的图片没有显示出来,在UVM平台.pdf里面有
发表于 2015-4-2 15:30:32 | 显示全部楼层
涨姿势了
发表于 2015-4-2 20:21:09 | 显示全部楼层
回复 1# 9065574912


    楼主好人! 做个标记,明天仔细学习!
发表于 2015-4-13 19:31:34 | 显示全部楼层
总结的很好
发表于 2015-4-14 11:15:00 | 显示全部楼层
好人~~~
发表于 2015-4-19 15:57:46 | 显示全部楼层
很不错的文章,谢谢
发表于 2015-4-26 19:07:03 | 显示全部楼层
good,very good
发表于 2015-4-27 06:03:13 | 显示全部楼层
好人啊~
发表于 2015-4-27 06:15:25 | 显示全部楼层
好人啊~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 23:13 , Processed in 0.030950 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表