在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 创建了一个FPGA群(97133597),欢迎加盟  ...2 runxin218 2009-12-16 168016 lubuxin 2010-1-9 16:46
[原创] candence 和modelsim的环境变量名相同,怎么改,急切  ...2 yimiqiba 2010-3-30 169956 YQ_SEU 2021-5-2 15:12
[原创] 数字滤波器中去直流滤波器的设计问题  ...2 xibeizi314159 2010-6-3 168358 edwardk 2018-11-28 16:32
[原创] 5年IC验证经历如何发展,希望能与大家交流  ...2 qingyou28 2010-6-5 164899 MOSFET 2011-5-18 11:08
[原创] cic compensation filter design attachment  ...2 qinlongdwtdx 2011-12-8 165527 pjtm9364 2020-5-9 10:46
[原创] 请教该表达式的verilog实现(已解决)  ...2 atlandis 2012-4-24 165594 zongkai2003 2012-4-26 09:07
[原创] 为Java在SystemVerilog中的原配地位正身 attachment  ...2 chlalex 2013-4-5 167010 huao007 2020-5-7 00:26
[原创] 现在FPGA前段设计是不是主要是设计IP核??  ...2 iter_1 2013-4-30 1615341 ao.xang 2015-5-7 11:25
[原创] aurora 8b10b核的例化使用问题  ...2 cxh428 2013-8-1 1612081 liukelihui 2015-11-26 11:44
[原创] 最近在看pcie的DMA资料,自己开发的难度大吗 ?  ...2 american007 2019-6-24 167752 轩辕志瑜 2021-1-5 22:32
[原创] FPGA人才缺口大,国内FPGA行业面临挑战! attachment  ...2 mdy-郭柏荣 2019-8-27 164220 杨义 2019-12-27 08:53
[原创] VCS_LabSimulation Basics实验一 attachment  ...2 dreamer180 2019-9-19 165711 huatiantian 2023-10-20 10:25
[原创] SpyGlass_MoreLintRules_Reference attachment  ...2 kevin.yang0922 2021-6-9 164828 dreamfly123123 2024-1-23 17:33
[原创] 大家用什么编辑器  ...2 guoaiying 2010-1-7 155432 shenxu1204 2010-1-21 01:05
[原创] xilinx 和altre 哪个更适合,做工程应用  ...2 henrybai 2010-4-6 153804 icsystem 2010-6-2 15:30
[原创] 关于sram的讨论  ...2 alanzheng1978 2010-5-28 156927 袁野1990 2020-11-28 21:20
[原创] 寄存器求教  ...2 liuxiantao11 2010-8-18 153421 ineedpower 2010-8-25 10:29
[原创] latch 对dft的影响  ...2 yckai007 2010-9-4 159448 skjeon78 2016-1-24 14:37
[原创] 请教一下这个verilogHDL编的程序错误怎么改 attach_img  ...2 xiaoluo99 2011-6-5 155454 xiaoluo99 2011-6-7 14:55
[原创] XILINX Vivado开发环境,有下载链接么?  ...2 goalyoung 2012-5-5 159342 Jasen_0314 2015-8-28 09:34
[原创] 请教两组代码的区别,哪组的风格好?  ...2 atlandis 2012-8-1 154321 lstarsoul 2012-8-19 17:19
[原创] 弱问I2C master读数据时,时钟是由谁产生的? attach_img  ...2 atlandis 2012-12-24 159031 隔壁村的酱油 2014-3-10 21:27
[原创] 关于Memory的书  ...2 aureage 2013-2-4 154991 andy2000a 2019-8-13 10:04
[原创] asic与fpga  ...2 changyun1209 2013-5-27 154476 changyun1209 2013-7-11 10:07
[原创] 这句 verilog语句是什么意思  ...2 wide_road 2013-7-15 154462 xjwedh 2013-7-24 21:55
[原创] 请问,如何保存FPGA的输出数据流  ...2 atlandis 2014-3-10 153863 atlandis 2014-5-4 16:11
[原创] 关于Xilinx 的时序约束(XDC不是UCF)可以看这里  ...2 yuelengyueming 2014-4-1 155226 jsls0701 2016-4-6 21:09
[原创] PCIe这样搞好像也不错嘛 attach_img  ...2 coyoo 2014-10-31 153423 zmac 2014-11-7 15:45
[原创] 芯片I2C slave将SDA拉低导致SCL不输出问题的解决过程 attach_img  ...2 atomdust 2016-4-25 1511749 liwei098109040 2024-1-26 15:23
[原创] 谁说 30多岁 精力 不抵刚毕业的新人的?  ...2 yangyanwen123 2016-8-19 155096 14425324 2019-12-29 22:42
[原创] DMA 原理疑问---流控制 attach_img  ...2 494693243 2019-11-27 157862 bj0990 2022-11-3 11:12
[原创] 8051单片机verilog源码 新人帖 attachment  ...2 lbzhu 2020-6-25 156741 icroad 2022-2-25 14:18
[原创] 通信IC设计(上册)_李庆华 attachment  ...2 songsong001 2021-5-11 153574 satans 2022-10-10 10:23
[原创] 请教大家EDA工具装在哪个linux系统比较稳定额?  ...2 atlandis 2021-5-29 153138 hitzhabc 2021-6-8 11:40
[原创] 画数字时序图的visio库 attachment  ...2 xcan2012 2022-1-25 153389 monixiaobai 2022-4-28 13:36
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 00:53 , Processed in 0.023208 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块