在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 求教USB HUB的问题 dqwuf2008 2022-3-25 01225 dqwuf2008 2022-3-25 09:13
[招聘] 3000元做个软件无线电任务、USRP\GNU RADIO,完成即可不坐班 chenwen3678 2022-3-17 51780 ww0439 2022-3-25 07:26
[求助] PCIE RC和EP Ground_lan 2016-8-16 912894 masaka_xlw 2022-3-25 04:29
[资料] TCL脚本学习资料 attachment  ...23456..8 yy00668 2016-9-10 7025226 最爱吃饺子 2022-3-24 14:31
[求助] gv文件是什么鬼? jmjmjm123 2020-11-9 54198 roc2007 2022-3-24 00:20
[求助] 请教下,nlint和verdi VCS软件的license环境变量如何配置? attach_img  ...2 american007 2018-4-9 167260 hyperpicc 2022-3-23 22:28
[求助] 联合Modesim仿真失败 attach_img 黄焖鸡 2022-3-23 01109 黄焖鸡 2022-3-23 17:16
[求助] SDP阶段和FDP阶段是什么意思 1252005989 2022-3-12 21852 macal 2022-3-23 10:21
[求助] 上华0.5um工艺库使用 attach_img 自由落体的虹 2022-3-17 31539 gjy1095481875 2022-3-23 09:55
[活动] AMD FPGA+MathWork联合直播:从MATLAB/Simulink到HDL,基于模型的FPGA/SoC设计 attach_img jackzhang 2022-3-22 12222 yaoqing88 2022-3-23 02:20
[原创] XILINX FIFO写不进去的问题(实用分享) attach_img chop147 2022-3-2 41428 chop147 2022-3-22 14:21
[求助] Verilog testbench中将一个initial begin end块写到一个独立的.v文件时的问题 xcxfly 2016-4-26 85526 gerry1812 2022-3-22 12:28
[求助] 新手请教,如何在Quartus使用SDC 打印出所有的 port? yungchan 2022-3-21 11191 A1985 2022-3-21 22:25
[讨论] 这个信号需要做跨时钟域处理吗 王之凡 2022-3-21 31258 王之凡 2022-3-21 19:27
[求助] 有关在linux下安装cadence IC616 cws1228 2017-1-18 23020 569823046 2022-3-21 15:45
悬赏 [求助] Quartus HLS如何支持cyclone IV类型的器件 - [悬赏 100 信元资产] LuiLui 2022-3-20 01474 LuiLui 2022-3-20 10:39
[求助] SYNPLIFY 约束设置 attach_img sss08_leon 2022-3-10 11385 IC.Michael 2022-3-19 22:39
悬赏 [求助] 计算机组成与体系结构:性能设计(原书第10版) 中文 - [悬赏 500 信元资产] onearangel 2022-3-9 31614 y23angchen 2022-3-18 16:07
[求助] 使用FOR例化多个ELSE IF语法报错,请问哪里写错了? 新人帖 attach_img guidoahead 2022-2-24 61403 mrlong 2022-3-18 11:23
[求助] spyglass脚本运行完后,查看哪些报告来确定系统时钟有没有问题? melinda_li 2022-3-3 11045 mrlong 2022-3-18 11:17
[求助] 如何用vivado进行非2次幂的DFT 新人帖 lee1998 2022-3-10 11272 fangll 2022-3-18 10:11
[原创] FPGA设计实用分享02 之 XILINX的可参数化FIFO attach_img chop147 2022-3-9 51607 junnit 2022-3-17 23:54
[求助] vivado工程使用VCS仿真报错 attach_img arle 2022-1-28 31725 junnit 2022-3-17 23:46
[求助] 形式化验证问题请教 zhang9yeah 2022-1-9 51919 zyffpga 2022-3-17 15:58
[原创] 《FPGA数字电子系统设计与开发实例导航》刘韬 attachment  ...23456..8 liuliming808 2015-5-17 7218093 zyffpga 2022-3-17 15:56
[求助] vivado调用sdk通过run configuration烧写和通过program jtag烧写什么区别 851018986 2022-3-17 01064 851018986 2022-3-17 15:18
[原创] 基于ZYNQ+ ADRV9009 频谱监测接收机设计与实现 新人帖 mr.zhu 2022-3-17 01447 mr.zhu 2022-3-17 09:49
[求助] 数字标准单元库里面是否有三态门单元呢?想在virtuoso调用三态门单元. uzljuljz 2022-3-17 0736 uzljuljz 2022-3-17 09:26
[原创] timing_closure_ECP3_FAE_training attachment supermanqc 2012-9-4 41815 zyffpga 2022-3-16 14:57
[资料] Xilinx 第三方 RAID IP  ...2 iter_1 2017-5-16 114316 goodhope 2022-3-16 08:49
.tdf是什么文件? jearome 2006-9-27 616342 suma5213 2022-3-15 09:50
[求助] 使用串口调试软件发送连续字符时,为什么要用空格呢? attach_img come_on_sn 2022-3-14 01154 come_on_sn 2022-3-14 23:45
[求助] 求modelsim官网软件下载方法! 新人帖 13880226409 2022-3-14 11263 xiaoxiaochen 2022-3-14 23:20
[求助] 外包项目-有意者私信我 kikazzx 2022-3-14 01541 kikazzx 2022-3-14 11:12
悬赏 [求助] UPF 仿真,Analog的GND 变成 1 - [悬赏 1000 信元资产] attach_img wrhwindboy 2022-2-28 21462 wrhwindboy 2022-3-14 09:08
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 20:54 , Processed in 0.065808 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块