在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 求指点 ncverilog atlandis 2012-3-31 110674 atlandis 2012-3-31 17:37
[原创] Verilog中while的用法  ...2 aliceblue123 2010-12-18 1710602 zsy5460 2019-5-21 23:19
[原创] 请问xilinx和altera的主要区别 georgelzh 2013-7-4 910309 笑陵韵 2014-5-4 19:41
[原创] 发个调查,大家用FPGA做什么方面  ...234 dongshusong 2010-5-17 3210195 chifalcon 2011-6-2 16:43
[原创] Verilog程序编译时出现的,求修改/  ...23 甲壳虫 2016-3-8 2010151 allen.comm 2019-5-19 13:19
[原创] 请教一个verilog的语法错误  ...2 xiaojigao 2011-11-25 1310076 kobefly225 2011-11-30 09:03
[原创] verilog 中小数的相乘问题  ...2 yongmaster 2011-5-22 1110110 timchen0716 2015-5-23 11:17
[原创] xilinx_sata工程源代码 attachment  ...234 chenjun1234 2016-6-29 3410075 jw216 2024-3-5 20:14
[原创] ISE报错,求指教 atlandis 2012-8-16 610011 jefby 2012-8-16 21:07
[原创] candence 和modelsim的环境变量名相同,怎么改,急切  ...2 yimiqiba 2010-3-30 169967 YQ_SEU 2021-5-2 15:12
[原创] AHB,APB attachment  ...23 中科张宇 2013-7-23 229880 Maccurry 2021-3-29 16:59
[原创] 大家一起来开发8051的IP核把?  ...2345 shiyinjita 2011-11-22 409868 penner 2012-2-9 18:23
[原创] 异步fifo的深度,如何确定?  ...2 天天PDF 2011-5-14 149835 Lawee 2011-6-9 13:39
[原创] 时钟同步技术 attachment  ...23 supermanqc 2012-9-4 209823 sinspro 2018-11-18 10:47
[原创] 中国第一家FPGA公司京微雅格横空出世 attach_img  ...234 fpga20100522 2012-10-10 389836 月下枯竹 2014-10-7 10:48
[原创] D触发器问题请教  ...23 sh_0119 2010-8-22 229778 hpfed 2011-6-27 22:19
[原创] 新书印刷好了 attachment  ...234 coyoo 2015-9-30 359612 rainlym09 2022-1-11 14:18
[原创] 做数字低功耗设计  ...23 wyyshaken 2010-4-21 279587 star00100 2017-11-2 21:56
[原创] vcs 启动错误  ...2 aaronzht 2012-12-2 149527 swufish 2014-2-8 14:31
[原创] 学IC上E课网,就业不用愁 attach_img  ...23 eecourse 2016-4-7 229458 liuxian 2016-4-21 14:29
[原创] Verilog中可以做取模运算吗? Tonyhai 2010-3-18 29477 Eric_Chu 2010-3-20 15:57
[原创] latch 对dft的影响  ...2 yckai007 2010-9-4 159468 skjeon78 2016-1-24 14:37
[原创] XILINX Vivado开发环境,有下载链接么?  ...2 goalyoung 2012-5-5 159355 Jasen_0314 2015-8-28 09:34
[原创] [求助求助]新手刚学Verilog,希望哪位大神帮忙写下这个verilog代码 joebaibai 2013-10-20 39381 hgd2zp 2013-10-23 08:48
[原创] 使用DE2-115简单实现CortexM0_DesignStart并Keil调试成功  ...234 Pasingen 2021-7-12 389303 Nouins 2023-6-8 11:43
[原创] VCD2VEC perl 脚本  ...2 warmheard 2012-4-3 139304 xieerman1 2022-11-3 08:42
[原创] 图形化Verilog编程,大家怎么看? attach_img  ...2 JoyShockley 2014-6-17 149282 edwardk 2018-11-28 10:38
[原创] Vivado 入门与提高中文讲解视频课程  ...2 OrangePeace 2013-12-6 189263 261风孩 2017-7-14 13:08
[原创] Altpll仿真 longlyice 2011-6-24 69232 Lawee 2011-7-19 18:42
[原创] RobustVerilog parser 在win7 不好用 attachment  ...23 wide_road 2013-10-12 209221 xlteam2 2020-11-7 14:55
[原创] 网表  ...2 liuxiantao11 2010-9-14 129069 lycxy521 2010-10-23 22:40
[原创] SystemVerilog学习(2):搭建学习环境 sivar 2010-12-5 99102 Gavin-35 2023-9-6 20:58
[原创] 弱问I2C master读数据时,时钟是由谁产生的? attach_img  ...2 atlandis 2012-12-24 159044 隔壁村的酱油 2014-3-10 21:27
投票 [原创] 芯片行业的收入情形是什么样? 新人帖  ...2 changxusu 2020-2-14 199068 tezhong 2020-5-23 18:43
[原创] 工资上万的前辈们,来讲讲你们的历程。  ...2 无言之约 2011-3-2 149076 freeren_liming 2011-3-6 21:36
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 17:53 , Processed in 0.026616 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块