在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 甲壳虫

[原创] Verilog程序编译时出现的,求修改/

[复制链接]
发表于 2016-3-9 08:44:10 | 显示全部楼层
回复 8# 甲壳虫


   这个是你程序的问题,硬件电路不像c语音,每一句语句都对应一个电路,不能按照c语音的思路来写硬件电路  建议从简单实例学起    ,你的这个解决方法是   不要布局布线  先编译 是可以通过的
 楼主| 发表于 2016-3-9 10:50:01 | 显示全部楼层
回复 10# 海东凤婷
非常感谢您的指点,就是这样写sc1=s1^0.5;这个乘方是不是错的。我粘贴编译了一下,出现了这样的问题:Error (11720): Run Analysis and Synthesis (quartus_map) with top-level entity name "srcn" before running Fitter (quartus_fit)


还有就是你用的身软件编译的。Error: Quartus II 64-Bit Fitter was unsuccessful. 1 error, 0 warnings还有就是我计算机的内存是不是太小了。
发表于 2016-3-9 10:56:42 | 显示全部楼层
兄弟,你这问题不是一般的严重。always时序里面一般阻塞赋值,四重for循环,偶的娘呀,吓死宝宝了,
reg[63:0] pd [1:510][1:510]; 你这一个pd就超过2MB的RAM。还  s1=tc/9; 你知道硬件里一个除法意味着什么吗?
就算是新学,也一步一步的先简单的来啊。你这一口一个胖子的架势,不合适
 楼主| 发表于 2016-3-9 19:04:50 | 显示全部楼层
回复 13# vigorkylin
非常感谢您的建议和帮助。由于最近项目的需要,我也是刚刚学这个语言,可以说不知道怎么看,很多地方都不是太懂,身边有没有什么人可以讨论的,只有自己一个人,学起来很吃力。可不可以帮我解决一下这几个问题,大概的写点意见,谢谢!(1)我这程序里的四重for循环应该怎么改才好?
(2)reg[63:0] pd [1:510][1:510];可以怎样改使他不超出范围?
(3) s1=tc/9,是不是调用软件除法器中的ip核,应该怎样调用?
能不能推荐一本比较好的学习书本。非常感谢!!!
 楼主| 发表于 2016-3-9 19:08:17 | 显示全部楼层
回复 11# 海东凤婷
在问一个问题,像C语言中pdse=(short int*)malloc(jl*sizeof(short int));这种分配内存空间的,在硬件语言中该怎么表示或者有什么样的方法?谢谢!
发表于 2016-3-10 08:54:28 | 显示全部楼层
推荐  夏宇闻 verilog数字系统设计教程   读前几章你就会明白了
发表于 2016-3-10 11:19:01 | 显示全部楼层
回复 14# 甲壳虫


   


猜测你这是要强行将一个处理图像的c++程序转化为verilog程序,是不是?
(1) 循环怎么改我也不好说,还是那句话,先从简单的一点点来,告诉老板你需要时间,老板不同意你可以说办不到。如果要可综合的话,极少用情况下用到循环;
(2) 这个跟你c++程序一样索引不出界就不超出范围,我吃惊的是2M RAM在硬件里实现,是一个很恐怖的数据;
(3) 你连最基础的都没看,就想使用IP核,那一堆参数会让你想死的。
说到底,一个是软件,一个是硬件,是两个截然不同的领域,不可能说帖子上解了你几个疑惑就能把问题解决了。
 楼主| 发表于 2016-3-22 22:50:04 | 显示全部楼层
回复 2# 海东凤婷 问一个问题在Verilog中x*2^(-3)+x*2^(-4)+x*2^(-6)+x*2^(-8)该怎么表示啊
是像这样吗? (x>>3)+(x>>4)+(x>>6)+(x>>8)
不知道对不对?
发表于 2019-5-19 13:12:31 | 显示全部楼层
Error (293007): Current module quartus_fit ended unexpectedly. Verify that you have sufficient memory available to compile your design. You can view disk space and physical RAM requirements on the System and Software Requirements page of the Intel FPGA website (http://dl.altera.com/requirements/).
发表于 2019-5-19 13:12:49 | 显示全部楼层
Error (293007): Current module quartus_fit ended unexpectedly. Verify that you have sufficient memory available to compile your design. You can view disk space and physical RAM requirements on the System and Software Requirements page of the Intel FPGA website (http://dl.altera.com/requirements/).
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 11:37 , Processed in 0.028468 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表