在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10135|回复: 13

[原创] 请教一个verilog的语法错误

[复制链接]
发表于 2011-11-25 10:27:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
今天用FPGA对代码进行综合的时候遇到几个不知道原因的错。
出错的代码大概是这样子:

1  reg [3:0]a,b,c;
2  reg aa,bb,cc;
3
4  always @(posedge CLK)
5  begin
6     if(~RST_)
7       a <= o;
8      else
9       a <= b;
10 end

报出来的ERROR是:
Line 1:Root scope declaration is not allowed in verilog95/2K mode
Line 2:Root scope declaration is not allowed in verilog95/2K mode
Line 4: Syntax error near "always".

用的是XILINX的FPGA综合工具。

多谢大家指教!!!
发表于 2011-11-25 11:11:15 | 显示全部楼层
我觉得是不是第一行啊,应该是
reg [3:0] a;
reg [3:0] b;
reg [3:0] c;
你那样写是不是会认为b和c是1位的。
我不知道我说的对不对,好久没碰verilog了
发表于 2011-11-25 12:07:18 | 显示全部楼层
1. 敏感列表没有复位信号
2. 输入输出端口没定义
3. a<=o(你写的好像是字母o),,该为a<=4'd0
发表于 2011-11-25 18:04:56 | 显示全部楼层
回复 3# huizi0711


    缺少敏感变量表会造成什么后果呢?
发表于 2011-11-25 19:10:17 | 显示全部楼层
这个复位是同步复位吧,应该不会报这个错误,感觉赋值语句有问题a <= o;
发表于 2011-11-28 17:27:24 | 显示全部楼层
回复 4# 走走停停


  缺少了复位信号敏感列表使得本来应该综合成时序逻辑的
现在综合为组合逻辑了
发表于 2011-11-28 17:29:08 | 显示全部楼层
回复 5# genus001


    他这个是同步复位
但是在实际应用中都不这么用
都用异步复位(同步释放)
发表于 2011-11-28 17:38:53 | 显示全部楼层
发表于 2011-11-28 17:58:47 | 显示全部楼层
本帖最后由 remnant 于 2011-11-28 18:00 编辑


回复  genus001


    他这个是同步复位
但是在实际应用中都不这么用
都用异步复位(同步释放)
huizi0711 发表于 2011-11-28 17:29



你这么用,不代表都这么用。你老人家有没有仿过、综合过楼主的代码?就敢这么说?


1、2两个错误警告,意思是在root空间声明信号。
说白了,就是在module ... endmodule之外写了reg ..., wire ...等等来声明信号。
root空间的定义,请楼主参考systemverilog语言手册的相关部分。

3错误是指always附近语法错误,具体是什么错误,需要更多代码来定位错误。也有可能
是那个字母o引起,根据楼主给出代码只能猜测是把0(零)写成了字母o。

楼主的寄存器写法,是标准的同步dff,rst_信号会成为D端逻辑的一部分。
任何一个设计,到底如何复位,取决于整个系统是先来rst还是先来clk,而非“都怎么怎么用”。
发表于 2011-11-28 18:07:40 | 显示全部楼层


你这么用,不代表都这么用。你老人家有没有仿过、综合过楼主的代码?就敢这么说?


1、2两个错误警 ...
remnant 发表于 2011-11-28 17:58




    顶,我也觉得是
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 02:37 , Processed in 0.039219 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表