在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 3 |主题: 20973|排名: 10 

[求助] calibre run lvs时,界面直接提取schematic的网表,单位怎么设为um attach_img  ...234 hao_0071 2012-3-14 368610 Rucas 2014-2-27 09:39
[求助] 哪里能下载Ubuntu9.10中csh的安装包!thanks zjl840928 2012-3-13 44056 龙溪小泮 2012-9-18 12:13
[讨论] 关于DCT读入floorplan的DEF的问题  ...2 牧月 2012-3-13 106781 551131519 2023-3-2 14:23
[求助] 关于power_network的问题? X6J6P6 2012-3-13 21962 X6J6P6 2012-3-14 12:58
[求助] icc中关于power connection的问题 bosscat87 2012-3-13 22171 bosscat87 2012-3-14 21:50
[求助] calibre lvs 报错  ...2 DruculaC 2012-3-13 1415525 bailan 2020-10-31 11:39
[讨论] transition的violation一定要修吗?  ...2 ayuan1027 2012-3-13 1714293 AveryYoung 2014-8-29 19:31
[求助] 求UMC0.35的symbol_library库! chenniao 2012-3-13 21748 kharthik 2013-12-5 15:00
[求助] 后端设计新人请教 J7889 2012-3-12 12968 williamliwei 2012-3-25 19:34
[求助] 求助,io pad的数量会不会影响到芯片的工作的问题 estyzq 2012-3-12 85493 arthur_wang_orz 2012-3-13 20:45
[求助] ICT转成CapTbl 炎炎 2012-3-12 33792 KeepEasy 2012-3-30 10:15
[求助] ICC cts set_ideal_network问题 jerry66666 2012-3-12 77649 jamesning 2016-8-5 23:34
[求助] 用encounter时工具为何自动添加FILLTIEM单元? attach_img  ...2 Langjityb 2012-3-12 183792 522526tl 2014-11-21 16:47
[求助] verifyGeometry时出现大量short twn2000 2012-3-12 22173 kally 2012-3-12 13:49
[求助] 请教DC中的Symbol Library的用途 dreamylife 2012-3-12 65908 dongdong5103 2022-7-5 23:11
[求助] ICC power ring and strap liqiang998 2012-3-12 34710 gaohb9988 2012-3-13 09:59
[求助] 求助,dc综合时候的一个警告是否要在意[已解决] estyzq 2012-3-12 11663 A1985 2012-3-12 21:23
[求助] 请问各位大侠,PT里如何修时序违例啊? 龙溪小泮 2012-3-11 54841 angangang3484 2012-3-12 18:19
[求助] encounter GUI坐标和DEF文件坐标问题 ouyuforever 2012-3-11 11845 陈涛 2012-3-11 23:39
[求助] 后仿中时钟占空比不完全为50% attach_img muchuanhua 2012-3-11 22259 muchuanhua 2012-3-12 09:14
[求助] 菜鸟刚学encounter PAD问题 菜鸟ASIC 2012-3-11 12125 菜鸟ASIC 2012-3-11 11:13
[求助] 用D触发器实现7分频电路图 XIDIANCAD2 2012-3-10 29994 牧月 2012-3-14 19:23
[求助] 求助,dc下同步时钟综合问题[已解决] attach_img estyzq 2012-3-10 43038 supercainiao 2012-3-18 00:28
[求助] encounter CTS求助 skyrocket· 2012-3-10 22065 gaohb9988 2012-3-12 10:20
[求助] ICC 布线 liuyangqwin2 2012-3-10 73636 renwoxing86 2012-4-1 22:29
[求助] 在encounter中用什么命令来打via。出现open net如何手动修好?? zengt000 2012-3-10 72905 zds5455 2013-8-1 19:09
[求助] 菜鸟请教一个post-layout netlist的问题 trueif 2012-3-10 01994 trueif 2012-3-10 11:02
[原创] 关于fix setup timing的方法 大家讨论一下  ...2 strivenbu 2012-3-10 118676 fangwang85 2020-10-11 11:20
[原创] Astro tcl flow scripts attachment  ...23 angusyin 2012-3-10 237639 steper 2020-3-18 11:54
[求助] 菜鸟求助:关于PT的quicktime model的 sky2008x 2012-3-9 01725 sky2008x 2012-3-9 22:32
[求助] 求助啊 Tmax读 SPF文件出错啊 bluray2005 2012-3-9 01516 bluray2005 2012-3-9 22:26
[求助] Astro CTS问题 attach_img longjilb 2012-3-9 12324 longjilb 2012-3-12 23:07
[求助] calibre ERC电气规则检查中,因 TieHi ,TieLo导致的错误 attach_img  ...2 magic14 2012-3-9 1620792 腹化风雪 2015-12-24 16:25
[求助] LVS 时提取文件中相关warning问题[已解决]  ...2 1127208631 2012-3-9 1814808 mdlybb 2017-5-23 10:41
[求助] crosstalk after metal fill zh1898 2012-3-9 12158 chrisyl 2012-3-9 17:06
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 17:42 , Processed in 0.073431 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块