在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3024|回复: 4

[求助] 求助,dc下同步时钟综合问题[已解决]

[复制链接]
发表于 2012-3-10 21:37:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 estyzq 于 2012-3-13 09:06 编辑

某一设计,有输入的主时钟clk和分频后得到的clk4

考虑如果设计中存在某个信号sig,其信号波形如下,

波形图

波形图



这个sig信号时由clk时钟计数得到的,将会输送给clk4,用来在完成clk4下的某个逻辑,比如,
always @ (posedge clk4 or negedge rst) begin
if (sig) ....
end

在dc的约束中,已经有如下的约束:

create_clock clk
create_generated_clock clk4 -div 4
set_false_path -from clk to clk4
set_false_path -from clk4 to clk

请问,为了满足设计中的这种情况,需要对约束文件添加哪些东西?不考虑门控时钟等!
发表于 2012-3-10 22:22:38 | 显示全部楼层
回复 1# estyzq


   去掉false path,clk和clk4 generated会当成同步时钟关系处理的,而且这两个时钟域之间有数据交换(sig),不能随便false path   具体情况有必要再加multicycle就是了
发表于 2012-3-11 18:51:37 | 显示全部楼层




    是的,这种情况需去掉false path between clk and clk_div4
 楼主| 发表于 2012-3-11 22:30:25 | 显示全部楼层
回复 2# supercainiao


   你好,set_false_path不是说对分频时钟使用的话,算是一个紧约束吗?是不是说,如果时钟时间没有信号关系,就可以set_false_path了?
发表于 2012-3-18 00:28:27 | 显示全部楼层
回复 4# estyzq


   用false path那对这两个时钟的关系就是没关系了,怎么会是很紧呢   没有跨时钟域逻辑的两个时钟或者设计上确定是异步时钟不需关注时钟关系的可以设false path
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 23:50 , Processed in 0.029674 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表