在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1304|回复: 3

[求助] 急:时钟约束问题

[复制链接]
发表于 2013-5-6 13:51:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 gbsid 于 2013-5-6 16:53 编辑

shixu.JPG

图中的clk2clk3时钟周期是62,不同来源;clk4的时钟周期是12.5A区域逻辑由mux1输出时钟驱动,B区域逻辑由mux3输出时钟驱动。并且AB之间有数据交互,但是做了异步处理。

创建时钟如下:

create_clock –name clk [get_pins mux1/z] –period62

create_clock –name clk10 [get_pins mux3/z] –period12.5

为什么dc综合以后报告的clk10时钟上的hold违例的时钟周期是37.5clk10setup违例路径的时钟周期是12.5

请问上面的时钟约束有问题么?37.5那里来的?


报告结果类似下文:

startpoint:xxxxxxxxxxxxxxxxxxxxxxxxxxxx

(rising edge_triggered flip-flop clocked by clk10)

endpoint:yyyyyyyyyyyyyyyyyyyyyyyyyyyyyy

(rising edge_triggered flip-flop clocked by clk10)


path group:clk10

path type:min


point                                     Incr                   path

------------------------------------------------------

clock clk10 (rise edge)              0                         0

..                                           ..                         ..

..                                           ..                         ..

data arrival time                                                 ..


clock clk10(rise edge)            37.5           37.5

library ...                                  ...                        ...

--------------------------------------------------------

--------------------------------------------------------

slace (...)


由于综合数据在内网,而我们搜索在外网,所以只能简略描述了,请大神,版主们帮我决绝一下啊!!


发表于 2013-5-6 14:40:29 | 显示全部楼层
please post the timing report
 楼主| 发表于 2013-5-7 07:48:18 | 显示全部楼层
里面设了多周期路径
发表于 2013-5-7 09:06:33 | 显示全部楼层
clk和clk10之间set_false_path
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 20:08 , Processed in 0.027384 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表