在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1560|回复: 4

[求助] 再分频时钟的约束

[复制链接]
发表于 2013-12-23 10:23:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人设计中有两处分频,第一处由控制信号(EN_1)控制原时钟进行4分频或5分频,而这个4分频或5分频后的时钟再由另一控制信号(EN_2)进行控制,作为计数模块时钟进行计数,产生第二个分频后的时钟在模块中使用。请问,如上分频再分频的时钟该如何约束?
小弟第一次发帖,求助各位大大!
发表于 2013-12-23 11:49:10 | 显示全部楼层
写2次create_generated_clock
发表于 2013-12-23 12:20:52 | 显示全部楼层
两次create_generated_clock, 两次的-source 都应该为相同的源时钟
 楼主| 发表于 2013-12-23 13:50:12 | 显示全部楼层
回复 2# 陈涛
假设原时钟是clk,你的意思是create_generated_clock 以clk为源产生clk_4和clk_5,再用create_generated_clock以clk_4和clk_5分别为源产生clk_div?四个create_generated_clock ?谢谢!
 楼主| 发表于 2013-12-23 13:55:50 | 显示全部楼层
回复 3# jnale
第二次分频引用的时钟源是4、5分频后的时钟net?再进行create_generated_clock?那master_clock是clk_4还是clk_5?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 22:33 , Processed in 0.024284 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表