在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5641|回复: 7

[求助] hold time违例的解决办法

[复制链接]
发表于 2015-6-30 10:33:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近遇到一个很奇怪的hold time 违例,截图如下,数据路径是时钟上升沿,时钟路径是时钟下降沿,相差了半个时钟周期,不知道为什么会出现这样的情况,这条路径也没有特殊设置timing 约束。请问论坛里有没有遇到过类似的情况,还请高手们给与指点,谢谢!!
])OM32N$$JY)35M~F7JO85Y.png
发表于 2015-6-30 14:02:09 | 显示全部楼层
看名字clock gating 只用了一个 nand gate, 这种情况只要 CK 是高电平的时候 EN 抵达hold就违例了,所以catch clock要从fall edge 开始。
现在clock gating一般都是ICG,就算自己搭一般也加个latch来去glitch
 楼主| 发表于 2015-6-30 19:43:31 | 显示全部楼层
回复 2# zero_0
非常感谢您的回复,我设置的就是insert_clock_gating,那我现在这种情况是需要手动再去添加latch来fix这个违例,还是可以忽略不计?
一般这种情况,是在nand gate前面添加latch吗?
谢谢!
发表于 2015-6-30 21:54:35 | 显示全部楼层
这种应该可以忽略的,跟前端沟通一下,可能这个门控后的信号要等好几拍才能稳定被后边逻辑使用,有毛刺也无所谓了。如果前端有要求,那就改代码吧,制定ICG单元
发表于 2015-6-30 23:19:08 | 显示全部楼层
回复 3# kanikanixjj


    既然DC是你做的,当然不可忽略。
    man一下set clock gating style, 然后search "integrated"
 楼主| 发表于 2015-7-1 10:12:47 | 显示全部楼层
回复 5# zero_0
DC中的约束是前端给的,关于clock gate的设置为:
set_clock_gating_style -sequential_cell latch -minimum_bitwidth 4 -pos {integrated} -control_point before
insert_clock_gating
set_clock_gating_check -setup 0.2 -hold 0.2 [all_clocks]
latch的添加是DC自动的,我想请问下,如果需要手动添加,该怎么手动添加?
谢谢!
发表于 2015-7-1 14:12:49 | 显示全部楼层
回复 6# kanikanixjj


    就是通过这个命令控制。
    如果非要自己加,create_cell, disconnect_..., connect_..., identify_clock_gating
 楼主| 发表于 2015-7-2 09:36:14 | 显示全部楼层
回复 7# zero_0
有了这个命令控制,却还有violated,这样的该怎么修呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:39 , Processed in 0.036613 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表