在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] xilinx_sata工程源代码 attachment  ...234 chenjun1234 2016-6-29 3410520 jw216 2024-3-5 20:14
[求助] displayport 接口的电平标准求助 新人帖 attach_img 福生无量 2024-3-4 5380 福生无量 2024-3-5 17:38
[求助] 关于ISP图像处理一些疑惑 皖南坤势 2024-3-3 3464 xuxiuliv 2024-3-5 17:02
悬赏 [求助] DWC_pcie_ctl_dm_reference & databook - [悬赏 100 信元资产] 彼岸之花 2024-3-4 11559 彼岸之花 2024-3-5 16:18
[求助] 求助CIC插值滤波器设计 新人帖 attach_img 你吃不吃火锅 2024-1-31 2565 你吃不吃火锅 2024-3-5 10:56
[求助] ddr4 write leveling fail lewis.l.l 2024-2-26 2382 3ce 2024-3-4 17:23
[求助] 新手AMS混仿,.v文件无法导入,求高手帮忙 新人帖 Atticus_song 2022-4-28 71855 lllzd 2024-3-4 15:18
悬赏 [求助] 移位运算位数的问题 - [已解决] 佳木秀繁阴 2024-2-29 2477 佳木秀繁阴 2024-3-4 14:50
[求助] verilog 多次加载数据 更优雅一些的写法 新人帖 Haleski 2024-1-30 4642 guoweituo 2024-3-4 14:29
[求助] 时钟如何切换? Wade_ 2024-3-1 3436 harry_hust 2024-3-4 10:32
[招聘] 【存储芯片内推+高薪福利好】合肥康芯威存储技术有限公司内推 attach_img einkingyw 2024-3-4 1501 jiangnaner 2024-3-4 10:13
[求助] 有没有可以抓PCIE TLP包的软件 geek86 2023-11-22 4629 geek86 2024-3-4 09:50
[讨论] 前端的同学做spyglass CDC都遇到什么问题 scutlee 2024-3-2 2450 silent_speak 2024-3-4 08:37
[求助] 在simics+solaris10下,用artisan memory compiler无法生成GDS文件 idoiwant 2023-10-26 2686 renrensd 2024-3-3 16:12
[讨论] AXI exclusive monitor scutlee 2024-3-2 3475 Cpual333 2024-3-3 11:40
[讨论] hold time violation怎么修?? scutlee 2024-3-3 1444 AlvinRolling 2024-3-3 09:50
[讨论] 做前端的朋友有遇到什么后端的问题需要前端来解决吗?? scutlee 2024-2-20 9714 scutlee 2024-3-3 00:45
[讨论] 基带芯片设计相关 新人帖 sunjy17 2024-3-1 3435 sunjy17 2024-3-3 00:15
[求助] FORMALITY报错 8i8i9o9o 2023-10-26 4775 668225 2024-3-2 21:19
[讨论] 前端的朋友做后仿都遇到什么问题?? scutlee 2024-3-2 0317 scutlee 2024-3-2 19:01
[资料] VESA Monitor Timing standards attachment nianhai1212 2024-1-26 7592 im.leo 2024-3-2 10:48
[资料] 基于Spyglass的同步设计分析与静态验证 attachment  ...2345 edacw 2019-12-23 4111900 cai52864 2024-3-2 01:01
[讨论] 可综合TRNG设计 hjc19951212 2024-2-27 4514 hjc19951212 2024-3-1 16:25
[求助] 同步应该打几拍? Wade_ 2024-2-28 9652 马义鹏 2024-3-1 14:18
[资料] soc设计流程详细指导书,包括VCS 、ICC、DC。 attachment  ...23456..22 nwpuwangxf 2015-10-26 21443937 wangzzhe 2024-3-1 11:03
[原创] 原创-Verilog极简教程,附送源码和可执行环境 ic7x24 2018-4-4 52838 knightliu 2024-3-1 11:01
[求助] 怎么用ASIC硬件来实现这个? attach_img orientview 2024-2-27 4684 kk2009 2024-3-1 02:08
[求助] ICC route之后verify_lvs报错floatng及短路问题 attach_img liuqlh 2024-2-29 1286 liuqlh 2024-2-29 20:53
[原创] R8051XC2的OCDS调试接口 attach_img  ...2 McuPlayer2013 2016-10-16 1911027 McuPlayer2013 2024-2-29 18:03
[求助] ICC报错 新人帖 liuqlh 2024-1-9 2491 liuqlh 2024-2-29 17:49
[求助] JTAG无法连接R8051XC2-B attach_img welco 2020-12-24 21737 McuPlayer2013 2024-2-29 17:36
[活动] 【更新,参加7月期】基于Xilinx Spartan-3E的真随机数发生器的设计 attach_img digest  ...2345 huiyuanai3 2012-6-25 4220144 Markmiao4 2024-2-29 17:08
[求助] cortex-m0 mcu低功耗降低系统时钟频率的问题 新人帖 MLX010114 2023-4-8 11077 McuPlayer2013 2024-2-29 16:20
[求助] 求一个vivado的IEEE1735 v2 encryption license  ...23 yangyuf 2017-10-14 2210152 lxtx603 2024-2-29 15:22
[求助] max_transition yuanpin318 2024-2-23 6548 geek86 2024-2-29 09:48
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-15 10:01 , Processed in 0.016129 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块