在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 1 |主题: 6560|排名: 13 

悬赏 [求助] 请教一个问题如果使用ncverilog编译UVM库 - [已解决] attach_img  ...2 jamesning 2018-4-5 129586 lzj126 2018-12-19 17:53
求助:VCS怎么看SVA跑出来的波形?  ...2 angelojiang 2008-5-13 199566 mailtoanoopbabu 2020-4-8 21:47
[讨论] 大家感觉做IC怎么样啊  ...23 afeitongyi 2010-11-17 209532 zhaolqjn 2011-1-1 20:22
[讨论] 求教:怎么在filelist中添加类似于宏定义的东西  ...2 7号时代 2019-1-7 159492 mcm908911 2022-12-14 18:53
[求助] 各位大神,数字IC验证工程师需要具备哪些知识技能?该学些什么? attach_img  ...2 1027199631 2017-3-9 199548 无事小神仙 2021-3-24 14:58
[原创] 我有一个简单的想法 digest agree  ...23 kongfu2010 2011-11-4 239545 yyunju 2012-1-29 02:05
[原创] 多媒体类SoC项目Verification-Project-Leader工作内容介绍(讨论)  ...2 lshj98115 2015-10-20 159513 DerekXN 2022-6-25 07:45
[其它] ovm学习的一点小积累  ...2 silencefpga 2012-4-25 109534 liu11shaw 2016-6-9 14:27
[原创] systemverilog 如何用sample()收集功能覆盖率信息?  ...2 pfw3001 2012-7-6 119481 mlqe 2015-9-14 09:50
[求助] VCS在redhat5.8_x64上运行问题  ...2 chasing 2012-7-11 109434 w3donald 2018-11-5 23:51
[讨论] 有VCS下使用uvm的synopsys的例子吗?  ...2 dearhero 2013-7-13 139419 azkandf 2019-9-5 10:43
[求助] 谁能帮忙分析一下OpenVera和Systemverilog的区别和优劣势啊 meijingguoyu 2011-6-10 69500 ghong6003 2021-4-12 14:37
请教 SystemVerilog 高手 attachment xiaobenyi 2008-4-22 89359 duguzhang 2016-1-16 04:58
[其它] 使用python定制开发IC工具  ...23 tyxuanyuanlx 2015-10-29 219397 pwy122 2020-10-20 16:10
[其它] 一个IC失效分析论坛,非常不错 xiaxiaowu110 2010-2-2 69385 chenshi811 2011-4-4 10:02
[求助] 有没有用Questa10.0a跑UVM 1.0成功的?  ...23 cnzhang 2011-4-16 249345 kmlgdx2012 2013-8-18 10:45
[原创] 上海平头哥达摩院验证岗位  ...23 Auroranian 2020-3-27 289380 Auroranian 2021-3-11 17:59
[讨论] 吐槽 C 家的Incisive 文档  ...2 niulinux 2014-7-24 189297 s_uni 2023-12-18 14:18
[求助] 求助 ,用synopsys amba VIP时遇到的问题  ...2 xaoyao 2013-1-30 139364 kuaixuebao 2023-12-27 15:47
[求助] 请教!!!--VCS生成SAIF出现问题 hao310rui 2010-4-12 59309 1032482162 2017-6-24 13:53
[求助] 关于Vmm验证平台搭建,新手求助  ...23 amigo 2011-6-3 279341 zrzf23 2013-3-26 22:51
[讨论] 做数字IC,国内目前前端和后端人数的比例  ...2 troy32 2012-3-15 159346 s421490542 2016-10-24 17:19
[求助] VC S安装license时遇到的问题,向大神们求助! 新人帖 attach_img  ...2345 飞翔的马甲 2021-2-15 419289 飞翔的马甲 2021-2-18 17:13
[求助] 用Calibre做PEX 验证时出现 fatal error,寄生参数应该提取完了,但是CalibreView打不开 新人帖 attach_img  ...2 我的OK哦 2019-9-28 149298 我的OK哦 2023-7-17 09:58
[原创] verdi caoluming 2021-8-9 109240 Hemingway08 7 天前
[求助]为什么我对验证工作"没有感觉"???  ...234 fresh_grape 2008-3-1 339251 hunteryhq 2011-5-2 21:43
[求助] 请教大神们vcsmx仿真vhdl遇到的问题  ...2 小蒙同学 2019-11-5 109251 yuanpin318 2022-12-8 09:53
[求助] 在QuestaSim中编译了OVM库在运行程序时为什么还报错?  ...23 nangua001 2010-7-20 239222 zhaozhong0629 2012-4-12 22:15
[求助] 关于uvm的config问题 oscillator_cn1 2012-5-29 39274 oscillator_cn1 2012-5-31 09:31
[求助] formality中出现unmatched points odielpx 2011-10-25 99227 ZHZIC 2020-8-9 12:06
[求助] 【共5个问题,指点讨论】关于Verilog和SV中task及其内部变量是static还是automatic sages 2013-8-15 69203 lkk1988223 2013-12-27 10:02
[讨论] 异步FIFO的UVM验证 attach_img  ...23 晓强 2017-12-29 209234 罗啸 2021-11-18 23:25
请问SystemVerilog里面实数的除法如何实现? xiaobenyi 2008-5-3 69167 savin_123 2021-4-25 14:34
[求助] ICC抽取sdf文件后用NC-verilog仿真,有很多timing violation,导致数据不能正常存储 attach_img  ...2 dennisi123 2013-6-9 109156 tlq85120 2013-9-12 17:22
[求助] 一个test bench如何实例化两个顶层  ...2 meijingguoyu 2010-8-23 109135 brav 2010-10-20 20:26
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 08:46 , Processed in 0.034442 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块