在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9446|回复: 12

[求助] 请教一个问题如果使用ncverilog编译UVM库

[复制链接]
发表于 2018-4-5 10:47:00 | 显示全部楼层 |阅读模式
100资产
请教各位大侠,我在使用ncverilog(ncsim)搭建UVM验证环境,但是总是报错,整个环境原本是可以跑起来的,我只是增加了uvm库,然后就报错了,报错内容如下:
11.jpg


我曾尝试使用调整filelist的方法把文件编译的顺序调整,但是依然无济于事,我已经把上图中uvm_queue的定义文件写在了报错文件的上面,如下图是我的filelist,第27行已经定义了uvm_queue,第33行是报错文件:

12.jpg

我现在使用下面方法把整个filelist吃进makefile:
13.jpg

我已经想尽了所有方法,+incdir也使用了,依然无法解决这个问题。
请大家分享一下自己的编译方法,我想快点使用UVM环境,谢谢各位大侠!

最佳答案

查看完整内容

You need to add option -uvm or -uvmhome UVM_HOME_PATH
发表于 2018-4-5 10:47:01 | 显示全部楼层
You need to add option -uvm or -uvmhome UVM_HOME_PATH
发表于 2018-4-7 21:53:05 | 显示全部楼层
你用package的格式重写一下这些文件,然后用import试试
 楼主| 发表于 2018-4-12 23:00:59 | 显示全部楼层
回复 3# hychuchen

还是不行,把Makefile改成下面这样,增加了-uvmhome命令:
    11.jpg
    图1
结果连`uvm_info都不无法识别,如下图:
12.jpg

  图2
如果我把图1中的21和22行的注释取消,会有另一个错报出,和之前一样:
13.jpg

  图3

请大侠帮我看看,谢谢
 楼主| 发表于 2018-4-19 23:08:21 | 显示全部楼层
回复 2# hychuchen
已经解决了,谢谢大侠
发表于 2018-6-2 11:31:12 | 显示全部楼层
楼主,你的编译问题怎么解决的,我的老是显示package could not be bound,build_phase没有声明等错误
发表于 2018-6-3 16:36:07 | 显示全部楼层
回复 6# hdl1016486425

你用在run之前先要把所有用到的package编译一遍,不然就会遇到这个问题
发表于 2018-6-3 16:41:43 | 显示全部楼层
回复 6# hdl1016486425

在整个编译之前先单独编译package
发表于 2018-6-6 10:02:09 | 显示全部楼层
回复 8# wenhuajianyuan


    谢谢了🙏
发表于 2018-11-6 21:13:51 | 显示全部楼层
想知道这个问题楼主是怎么解决的???
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:45 , Processed in 0.028507 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表