在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6515|排名: 17 

[求助] 状态机该如何验证? 木木lingle 2023-11-9 4432 年轻的韭菜 2023-11-11 14:20
[求助] synopsys有没有类似cadence vmanager的工具? juneshang 2021-3-16 52933 sky2022 2023-11-10 17:00
悬赏 [求助] 数模混仿xrun+va波形用Verdi打开 - [悬赏 100 信元资产] xuxuxu666 2023-11-2 4695 liheng369 2023-11-10 16:06
悬赏 [求助] 数模混合仿真Xmvlog编译错误,救命救命救命救命救命 - [悬赏 1 信元资产] 杰伦小迷弟 2023-11-9 0313 杰伦小迷弟 2023-11-9 20:00
悬赏 [求助] AMS仿真报错 新人帖 - [已解决] attach_img 杰伦小迷弟 2023-11-9 2380 杰伦小迷弟 2023-11-9 19:54
[原创] 国产芯片MS8422替代CS8422 pin=pin xbwzwx 2023-11-9 0239 xbwzwx 2023-11-9 18:17
[原创] 如图,请问一下大家,function在被覆盖的时候,其内参数无法被覆盖吗 新人帖 attach_img LB_Adams 2023-11-9 1303 花伴星空 2023-11-9 15:03
[求助] axi master vip 的exclusive问题 新嘴小王安子 2023-3-7 51044 新嘴小王安子 2023-11-8 21:24
[求助] 使用VCS 进行后防出现大量不定态  ...2 youngstone 2011-12-22 1712243 爱飞的鸟 2023-11-7 20:04
[原创] MS35229马达驱动,耐压15V,256细分,是MS35774的低压版本 xbwzwx 2023-11-7 0261 xbwzwx 2023-11-7 16:52
[讨论] virtual sequence的作用 静影沉璧 2018-7-5 72226 gerry1812 2023-11-5 21:27
[求助] 关于vcs的option---debug_access+all的作用是啥? attach_img  ...2 桅杆 2019-3-28 1211984 Carl_0522 2023-11-4 14:36
[原创] sv中^ ^是个啥运算? 许晴125 2023-11-1 4564 飞翔的马甲 2023-11-4 11:34
[求助] 有大佬会ARM QVN协议吗? 追乐人66 2023-11-3 0398 追乐人66 2023-11-3 20:52
[求助] spyglass cdc zlwu 2023-11-3 0390 zlwu 2023-11-3 18:06
[求助] 使用force如何不过改变supply的信号 daiheyang 2023-10-16 3452 daiheyang 2023-11-3 14:41
[求助] ddr inout 信号如何设置 io_delay 枫舞 2023-11-2 0359 枫舞 2023-11-2 21:07
悬赏 [求助] gcc调用matlab错误 - [悬赏 10 信元资产] happysingle 2023-11-2 3338 happysingle 2023-11-2 15:10
[求助] SV中的modport使用的迷惑 新人帖 jjxgh 2019-5-9 24047 Carl_0522 2023-11-1 20:57
[求助] lin vip使用 xiang748729319 2023-10-25 2409 dragonxu 2023-11-1 18:40
[求助] UVM平台,如何在makefile里面得到随机的种子数值,求大神解答。。感谢 liuchaogogo 2017-5-8 34582 huiyi5210 2023-11-1 18:03
[求助] xa+vcs仿真 心风绝影 2021-5-27 63980 sara9233 2023-11-1 15:44
[原创] 在VCS仿真器中使用FSDB attachment catannie 2017-12-23 34068 542002990 2023-11-1 10:24
悬赏 [资料] 求UVM自动化生成工具,300信元 新人帖 - [悬赏 300 信元资产] eason_yang 2023-10-24 9709 sys_1722 2023-10-31 10:54
[求助] VCLP报错RET_MAP_MISMATCH SGengiant 2023-10-30 0277 SGengiant 2023-10-30 20:40
[求助] 关于PT的一个小问题 attach_img  ...2 zheng070608138 2013-5-7 156002 秦剑心 2023-10-30 20:27
[求助] Calibre 载入Rule 报错unpaired left brace( { ) or right brace( }) LuckyEDAZ 2023-10-29 2356 LuckyEDAZ 2023-10-30 10:29
悬赏 [求助] Verilog-AMS数模仿真 - [悬赏 10 信元资产] xuxuxu666 2023-10-27 1480 nuobeierjiang 2023-10-28 06:49
[求助] irun遇到ELBERR错误该怎么办呢? oscillator_cn1 2013-4-26 78236 AdelaideLiu 2023-10-28 02:34
[原创] 记录日常工作感悟 DKCJ02 2020-2-5 62028 Carl_0522 2023-10-27 17:46
悬赏 [求助] 求助:ETX总是白屏怎么解决 - [悬赏 500 信元资产] attach_img anpengfei 2022-1-4 102418 adong2838 2023-10-27 17:32
formality中designware 的问题  ...23 llot 2009-9-29 2013503 beryl85 2023-10-27 13:08
[求助] 求助!vcs的partition compile怎么整,有没有具体的例子 新人帖 wtqcf 2021-5-6 94212 unsinkableFF 2023-10-27 10:37
[求助] tri0 GSR = glbl.GSR; Error-[XMRE] Cross-module reference resolution error catball 2010-9-15 613748 LB_Adams 2023-10-27 09:49
[求助] 实例化的子模块中代码执行了,但是Formal中不显示行覆盖率 新人帖 attach_img wuyi_51 2023-10-26 1366 wuyi_51 2023-10-26 17:14
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:33 , Processed in 0.026039 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块