在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 飞翔的马甲

[求助] VC S安装license时遇到的问题,向大神们求助!

[复制链接]
 楼主| 发表于 2021-2-16 02:24:17 | 显示全部楼层
本帖最后由 飞翔的马甲 于 2021-2-16 02:27 编辑

终于搞定了。。。。
发现是license文件里面,把“DAEMON snpslmd /synopsys/scl11.9/amd64/bin/snpslmd”中的snpslmd漏掉了。。。
图片.png

现在Verdi已经可以打开了,里程碑的一步啊,好艰辛。。。。
还剩vcs的gcc-4.8和g++-4.8安装的问题了,明天再搞了
图片.png
图片.png
发表于 2021-2-16 17:56:37 | 显示全部楼层


飞翔的马甲 发表于 2021-2-16 02:24
终于搞定了。。。。
发现是license文件里面,把“DAEMON snpslmd /synopsys/scl11.9/amd64/bin/snpslmd”中 ...


uvm的例子程序hello_world下运行一下:
make -f Makefile.vcs all

发表于 2021-2-16 18:21:13 | 显示全部楼层


飞翔的马甲 发表于 2021-2-16 02:24
终于搞定了。。。。
发现是license文件里面,把“DAEMON snpslmd /synopsys/scl11.9/amd64/bin/snpslmd”中 ...


verdie安装centos6操作系统lib文件需要替换成新一点的....就可以运行了.VCS不行...


 楼主| 发表于 2021-2-17 16:46:44 | 显示全部楼层


randolpha 发表于 2021-2-16 17:56
uvm的例子程序hello_world下运行一下:
make -f Makefile.vcs all


在哪个目录下运行?

 楼主| 发表于 2021-2-17 17:16:59 | 显示全部楼层


randolpha 发表于 2021-2-16 18:21
verdie安装centos6操作系统lib文件需要替换成新一点的....就可以运行了.VCS不行...


编译的时候报这个错:
图片.png

加上了-fPIE选项也不行,不知道是不是gcc和g++的版本的问题。g++4.8的版本一直找不到,还没安装上,头大。。。


发表于 2021-2-17 18:28:03 | 显示全部楼层
gcc-4.8.5应该带了g++的
module$g++ -v
Using built-in specs.
COLLECT_GCC=g++
COLLECT_LTO_WRAPPER=/usr/local/libexec/gcc/x86_64-unknown-linux-gnu/4.8.5/lto-wrapper
Target: x86_64-unknown-linux-gnu
Configured with: ../configure -enable-checking=release -enable-languages=c,c++ -disable-multilib
Thread model: posix
gcc version 4.8.5 (GCC)
module$gcc -v
Using built-in specs.
COLLECT_GCC=gcc
COLLECT_LTO_WRAPPER=/usr/local/libexec/gcc/x86_64-unknown-linux-gnu/4.8.5/lto-wrapper
Target: x86_64-unknown-linux-gnu
Configured with: ../configure -enable-checking=release -enable-languages=c,c++ -disable-multilib
Thread model: posix
gcc version 4.8.5 (GCC)
发表于 2021-2-17 18:33:42 | 显示全部楼层


飞翔的马甲 发表于 2021-2-17 17:16
编译的时候报这个错:



已经4.8.5了,还是不行...

eda2018-2021-02-17-18-28-35.png
 楼主| 发表于 2021-2-17 18:35:43 | 显示全部楼层


randolpha 发表于 2021-2-17 18:33
已经4.8.5了,还是不行...


4.85怎么安装的?


发表于 2021-2-17 18:45:20 | 显示全部楼层
跟你还不一样.我是编译成功.事实上编译一直以来是成功的,就是没有升级gcc到4.8.5前也是成功的,可以看之前的帖子.一直就是执行simv的时候给出出错信息.


../simv up to date
make[1]: Leaving directory `/tools/synopsys/uvm/uvm-1.2/examples/simple/basic_examples/module/csrc'
CPU time: 67.009 seconds to compile + 2.924 seconds to elab + 5.997 seconds to link
./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log
Command line: ./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log

--- Stack trace follows:

Dumping VCS Annotated Stack:
#0  0x00000030540ac8dd in waitpid () from /lib64/libc.so.6
#1  0x000000305403e4e9 in do_system () from /lib64/libc.so.6
#2  0x000000305403e820 in system () from /lib64/libc.so.6
#3  0x00002aaaabb991fc in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be64600612100082236bffb2007f1e0ef9 () ..

...

Process VmPeak: 323732 kb, VmSize: 258204 kb
System Free Memory: 571792 kb, System Free Swap: 6127608 kb

No context available
make: *** [run] Error 1


发表于 2021-2-17 18:51:55 | 显示全部楼层


这个就是普通的gcc编译安装啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 23:24 , Processed in 0.027000 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表