在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 严重怀疑《数字电路设计透视》中有一小部分有错,《数字电路设计透视读者看过来》 attach_img 硅下亡魂 2023-2-22 5956 硅下亡魂 2023-2-23 12:44
悬赏 [求助] 如何才能拿到一份IC企业的实习? - [悬赏 500 信元资产]  ...2 熊熊熊熊熊总 2022-12-24 192708 zhx_sm 2023-2-22 09:59
[求助] 建立保持时间余量的计算问题 attach_img  ...2 liheng369 2016-8-17 115502 xiaoxiaohe 2023-2-21 20:55
悬赏 [原创] linux系统下,使用xcelium仿真Xilinx IP的步骤。 新人帖 - [悬赏 300 信元资产] zx7504081 2023-2-21 0923 zx7504081 2023-2-21 16:13
悬赏 [求助] Xinlinx Aurora IP使用及FPGA二次烧录的问题 - [悬赏 500 信元资产] attach_img TUJzzz 2023-2-20 71494 jinj198908 2023-2-21 13:01
[原创] Risc-v 2020-2022峰会的内容 juhuapaul 2023-2-21 0871 juhuapaul 2023-2-21 12:27
[求助] ISE中,可以在不同源文件中分别插入ILA核以线调试信号吗 come_on_sn 2021-1-9 21386 Collapsar 2023-2-21 11:36
[资料] CDC跨时钟域视频 attachment lancerone 2022-7-10 72195 lover2012 2023-2-21 09:25
[求助] 请问大家primetime启动时提示许可证问题是怎么解决的 荒何 2023-2-20 0842 荒何 2023-2-20 20:41
[求助] verdi使用问题求助 HYX499 2023-2-18 5804 HYX499 2023-2-20 19:23
[求助] 数字电路,如何防止被竞争对手恶意抄袭呢? orientview 2023-2-18 71316 rickandmorty 2023-2-20 18:56
关于Modelsim后仿真 attachment  ...23456..15 songchao0621 2008-3-28 14328890 Neoachion 2023-2-20 17:30
[求助] [求助] DC综合过程中遇到的问题 shadoww 2023-2-17 11494 shadoww 2023-2-20 10:30
[求助] 请教大家各问题:pcie需要用phy芯片吗? american007 2023-1-10 41247 american007 2023-2-19 02:24
[求助] 使用HPS时,SignalTap II检测不到硬件 新人帖 attach_img xujieming 2023-2-15 11337 thjan65 2023-2-16 20:38
[资料] VK2C22A/B LQFP52/48 抗干扰段码LCD驱动IC,适用瓦斯表,煤气表,工业LCD显示驱动等 shubashushi66 2023-2-16 0916 shubashushi66 2023-2-16 11:03
谁知道微电子中的die-to-die和within-die是什么意思  ...2 xs2015 2009-3-25 1113418 守正出奇 2023-2-16 10:03
[求助] 什么是版图JDV 马可萝卜 2022-1-11 22466 yfanny1980 2023-2-16 09:12
[求助] 处理器微架构的模块设计和代码编写 orientview 2023-1-12 21059 硅下亡魂 2023-2-15 20:03
[求助] 小公司做模拟还是大公司做验证 zh_ic_good 2023-2-15 21169 baiyangyihao 2023-2-15 18:50
[求助] 请教:大的ultrascale FPGA芯片的BRAM使用率达到75%,可能会有什么问题? 新人帖 cloud1224 2023-2-15 11098 innovation 2023-2-15 15:34
[求助] 关于set_input_delay的问题 DHreversion 2023-2-10 81296 navylin1 2023-2-15 15:14
[讨论] 实际设计中function用得多吗? 黄焖鸡 2023-2-10 51205 xiaowuzxc 2023-2-15 15:14
[求助] 环阵反相器个数 硅下亡魂 2023-2-14 31011 硅下亡魂 2023-2-15 12:08
[原创] Verilog基本电路设计之三(异步FIFO) attach_img digest  ...23456..8 杰克淡定 2016-6-18 7642925 ttuan 2023-2-15 10:46
[求助] 线数字芯片设计公司,后端4-7年,60-90W 上海成都南京 shearerliu 2023-2-13 0852 shearerliu 2023-2-13 23:04
悬赏 [求助] LVDS数据解串 - [已解决] 听风漫步 2023-2-7 61435 gg9132qq 2023-2-13 17:43
[求助] always块并行条件判断问题 RayZyl 2023-2-9 51266 52927605@qq.com 2023-2-13 17:17
[原创] 讨论下latch的time borrowing?  ...23 seaman_516 2010-1-6 2826147 lulanlanily 2023-2-13 16:20
[资料] xilinx专家大讲堂-zynq soc attachment  ...23456..7 zyqbluesky 2015-11-2 6719639 hunan168 2023-2-13 08:45
[原创] 推荐几个干货公众号-ICer充电必备 maouncle 2023-2-8 41194 sun327130352 2023-2-12 21:53
[资料] 刚找完工作全套数字IC设计验证后端SOC+UVM+DFT+CPU学习资料 新人帖  ...2 lxyx 2019-11-13 155611 sun327130352 2023-2-12 21:49
[原创] DCM和PLL和MMCM的差别 attach_img fengbohan1 2022-5-14 22110 ICSYS 2023-2-11 11:10
[招聘] 分享一波CPU高端岗位 IC小蜜蜂 2023-2-10 01054 IC小蜜蜂 2023-2-10 18:45
[求助] 关于RTL中Feedthroughs的问题 attachment  ...23 追天鹅的青蛙 2011-7-18 2511602 Tsengsink 2023-2-10 14:32
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 03:00 , Processed in 0.063477 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块