在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] pcie扫盲贴 attach_img  ...23456..9 shiyinjita 2014-4-10 8244941 simpleplan 2023-7-19 14:36
[求助] 怎样修改DC运行时使用的core个数 weena 2023-7-11 7728 Shiroihane 2023-7-19 13:32
[求助] VNC viewer是永久免费的吗? orientview 2023-7-19 2738 hzhou 2023-7-19 11:17
[求助] spyglass读取RTL代码时,对于设计中designware加密的iip如何处理?  ...2 CunjieSong 2020-10-27 156888 MatrixYL 2023-7-19 10:57
悬赏 [原创] 32bit的寄存器,其中有些bit不开放给用户使用 - [悬赏 6 信元资产] orientview 2023-6-6 101319 intern7 2023-7-17 18:00
[求助] power gating相关 ningyuan198 2023-6-21 3830 weena 2023-7-17 15:37
关于代码覆盖率问题的疑惑  ...23 chibijia 2009-10-24 2910163 爱飞的鸟 2023-7-17 09:53
[资料] arm neoverse n2 core资料共享 attachment andy9972 2023-7-15 1789 student321 2023-7-15 19:48
[求助] 新思EDA软件中,已经写好的RTL_code 新人帖 rhythm2 2023-7-5 2881 MatrixYL 2023-7-15 10:20
[求助] AXI DMA支持outstanding和读交织的话,那么应该如何与外设握手? modoalos 2023-7-14 0570 modoalos 2023-7-14 15:49
[调查] verilog编辑器选择 attach_img  ...2 gongjian11 2021-1-10 195774 wufeng233 2023-7-14 14:19
[求助] IC数字前端招聘 新人帖 xueyan331 2023-7-13 0682 xueyan331 2023-7-13 14:03
[求助] Foemality遇到 loop问题,存在aborted points该怎么解决 attach_img 1027199631 2018-2-3 33565 710466312 2023-7-12 21:28
[求助] 【求助】圈内大佬,有谁有破解对abby finereader安装包啊 wangli_peking 2023-7-12 0479 wangli_peking 2023-7-12 19:27
[求助] scala 语法 新人帖 肉肉 2023-7-12 1574 轩辕志瑜 2023-7-12 19:20
[讨论] 两种钟控反相器的实现方式之比较 attach_img TOPEEP108 2023-6-24 2936 TOPEEP108 2023-7-12 16:33
[原创] 抽取滤波器设计 新人帖 matlab5000 2023-7-3 3723 intern7 2023-7-12 15:33
[转贴] visio waveform attachment V-V 2023-7-5 3749 intern7 2023-7-12 15:31
[讨论] 公司买了synopsys DDR PHY的IP,不会用呢 新人帖 attach_img  ...2 scutlee 2023-7-8 111708 zx7504081 2023-7-12 15:18
[求助] 求IEC-TR-62380-2004文档 fhy420462303 2023-7-12 0534 fhy420462303 2023-7-12 10:58
[资料] 700+数字电路IP,总有你需要的 新人帖 attachment n597189116 2023-3-31 51564 n597189116 2023-7-12 09:09
[招聘] 【内推+高薪福利好】合肥康芯威存储技术有限公司内推 attach_img einkingyw 2023-6-29 1852 小狼开饭 2023-7-11 17:36
[求助] AXI的读交织是有规律的吗 modoalos 2023-7-10 0518 modoalos 2023-7-10 20:01
[资料] ISCAS'89,iscas89,集成电路89基准电路(verilog,vhdl) attachment  ...23 253577147 2016-4-6 258967 sdcxx 2023-7-10 15:43
[原创] 提供各类芯片设计IP与验证IP attach_img 华芯集成 2023-7-10 0658 华芯集成 2023-7-10 14:25
[求助] 数字ic入门项目 新人帖 J1angX1nbo 2023-7-7 5825 J1angX1nbo 2023-7-9 22:22
[求助] linux安装modelsim报错,如何解决 devindang 2023-7-8 0493 devindang 2023-7-8 19:10
[求助] FPGA里面clk_wiz产生的整数倍频率的时钟,是同源时钟还是异步时钟? helimpopo 2023-7-8 0542 helimpopo 2023-7-8 09:03
[求助] verilog关于负数的一个问题 stonechao 2023-7-6 7691 767781537 2023-7-7 18:05
[求助] Questa Sim仿真波形出现白色不连续的虚线,不明白表示什么意思 attach_img Outerman2000 2023-7-7 0584 Outerman2000 2023-7-7 17:51
[原创] vivado生成block memory,FPGA资源不足时是否会自动转成distributed memory? fendate 2023-7-6 3581 拾冠 2023-7-7 15:59
[求助] axi dma如果想支持读交织的话,应该怎么操作?【已解决】 modoalos 2023-7-5 6689 modoalos 2023-7-7 14:22
[求助] 求助,有人知道这个tcbn90ghptc.db 90nm的库吗  ...2 hancheng1166 2014-3-3 104479 live_不易 2023-7-7 00:10
[求助] synopsys coretool使用的问题 attach_img songzijian87 2018-8-2 87555 qiujian333 2023-7-6 22:18
[原创] Xilinx & Altera Synopsys 加密IP 还原出源代码 wxwangyan 2017-2-7 85796 gxmicro 2023-7-6 11:35
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 23:44 , Processed in 0.021503 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块