在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 78 

[求助] systemverilog中monitor的使用 新人帖 大卓 2021-9-16 21900 大卓 2021-9-17 10:57
[资料] ISP中lens shading矫正,简单的理解,整理不易;附加是程序和图片帮助理解 attach_img abner_cheng 2019-12-15 27797 lature 2021-9-16 14:08
[讨论] 数字版图eco之后如何回到代码级别的功能仿真? 桅杆 2021-9-14 31724 桅杆 2021-9-16 11:50
[求助] 请问IEEE802.3中mac帧的FCS是如何校验计算的? 新人帖 ljzverify 2021-9-13 11573 saipolo 2021-9-16 10:09
[求助] PEX后进行Corner仿真时出现错误 新人帖 - [阅读权限 255]attach_img 北风1号 2021-9-15 3672 北风1号 2021-9-15 20:29
[讨论] UVM高手请进:请教关于SV的cast函数和UVM的clone函数  ...23 飞扬紫百合 2012-11-9 2319114 ListenCC 2021-9-15 15:06
悬赏 [求助] virtuosoAC仿真和DC仿真的结果不一样 - [悬赏 200 信元资产] attach_img hck 2021-9-15 11854 磕了好多盐 2021-9-15 13:25
[求助] 请教如何测试VCO芯片? attach_img  ...2 czq1419 2016-3-7 105703 billjean 2021-9-14 12:02
[招聘] 验证,上海北京深圳南京 blastxx 2021-9-14 01559 blastxx 2021-9-14 09:12
[求助] modelsim仿真microblaze+lwip问题 新人帖 attach_img lzzno1 2021-9-6 31560 lzzno1 2021-9-14 08:59
[求助] 使用VCS进行仿真,生成不了vcd波形文件 新人帖  ...2 陆宝毅 2020-5-11 125881 陆宝毅 2021-9-13 16:10
[求助] Makefile中使用绝对/相对路径include包含其他文件的方法? 周志鹏 2021-9-7 21531 zorro2474 2021-9-13 10:56
[原创] 寄生参数提取 attach_img ZhenWT 2021-9-11 31495 ZhenWT 2021-9-12 08:41
[求助] interface属于dut还是属于Testbench? allright0218 2021-9-2 41445 zhangdeshuai 2021-9-10 13:45
[求助] AHB VIP写数据出现x态 枫舞 2021-8-23 52058 zyfwh 2021-9-9 22:14
[求助] 求助:uvm的读写操作的status值为什么只持续1ns attach_img 789ww 2021-9-9 51510 飞翔的马甲 2021-9-9 19:33
[求助] gvim 在标签页右键open tab 怎么默认显示是all files? 而不是C source? allright0218 2021-9-9 11110 飞翔的马甲 2021-9-9 17:08
[求助] UVM 中关于main_phase里 super 的问题 新人帖 attach_img 张伟淦 2019-3-21 75473 free2021 2021-9-8 16:20
[求助] 如何使用R&S SML 03信号发生源。 051021031 2014-9-30 42118 klumeer 2021-9-8 15:01
[原创] Cadence NC/Incisiv里做Functional Coverage (UVM) 分享 attachment  ...2345 chenfengrugao 2012-7-27 4630682 summeryang 2021-9-8 10:56
[求助] 用UVM做IC验证中DUT和reference model的一致性和代码覆盖率之间的冲突问题  ...234 guolehaohao 2014-3-3 3016798 飞翔的马甲 2021-9-4 19:07
[求助] vim的标签页显示路径如何去除? allright0218 2021-9-2 31607 allright0218 2021-9-4 17:43
[原创] 绿皮书6.13.2节的例子仿真错误 attach_img 年轻的韭菜 2020-7-24 72314 空白MAX 2021-9-3 15:21
[求助] 关于父类、子类成员变量初值的问题 zuiqiangzhe 2021-9-1 31458 飞翔的马甲 2021-9-2 19:08
[求助] 请问一下大家有没有 system verilog的Format工具呀,最好是Vscode可以用的 ezio1996 2021-8-27 22983 飞扬紫百合 2021-8-31 09:11
[求助] LEC问题,验证不通过 yangyang。 2021-8-31 01494 yangyang。 2021-8-31 09:05
[求助] sdf后仿真,使用optconfigfile如何去掉interconnect延时 wswlr 2019-8-12 12365 sjs132 2021-8-30 15:12
[讨论] caselist的写法 新嘴小王安子 2021-8-27 11565 新嘴小王安子 2021-8-27 16:07
[求助] 新手请教:system verilog里的回调和scoreboard  ...2 sdustyj 2011-10-10 1511751 summeryang 2021-8-27 14:48
[原创] LEC验证 attach_img yangyang。 2021-8-27 01840 yangyang。 2021-8-27 13:25
[讨论] synopsys 的I2c,当 dut做master,vip做slave时,dut读vip访问如何实现 新人帖 zhuqian1213 2021-8-26 62300 zhuqian1213 2021-8-26 17:17
[求助] 关于tkdiff的用法 logue 2015-6-3 34157 皮蛋不Q 2021-8-26 08:59
[求助] 寄存器扫描bus2reg未执行 xw25140 2020-9-12 52635 chengz1986 2021-8-25 16:57
悬赏 [求助] dc2016 LICENS INVALID!!!BUS PASSED??????? 新人帖 - [悬赏 1 信元资产] attachment 爱绒雪 2021-8-2 11455 爱绒雪 2021-8-25 16:33
module compiler 之后formality出现问题,求助。 lanyiel 2009-8-26 34089 haharun 2021-8-25 15:32
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 01:29 , Processed in 0.024373 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块