在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1264|回复: 1

[求助] 数模混仿,vcs+xa问题请教

[复制链接]
发表于 2022-3-25 21:43:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,求教。我现在跑的是verilog-top,调用SPICE子模块,数模接口处有一组inout的总线IO<7:0>,
仿真已经跑通,结果也是对,但是从子模块IO输出时,从verilog顶层看到是Z态,spice这一层看到明明是有数据的。
查看了网表,连接没有问题,IO输入数据也是对的,就是输出数据,顶层上看不到数据。
如下如所示,第一行是spice模块看到的IO,第二行是verilog顶层看到的IO,在输出数据是,为Z态
image.png
image.png
发表于 2022-4-2 19:01:30 | 显示全部楼层
混仿尽量从waveform里面加载信号,从代码窗加信号很容易出奇奇怪怪的问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 19:28 , Processed in 0.017532 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表