在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: fl_5588

[求助] vcs验证问题

[复制链接]
发表于 2013-1-22 17:14:06 | 显示全部楼层
回复 10# fl_5588

你这样做并没有使用vcs的随机生成器,给你个例子:
program test();
class a;
   rand int i;
   constraint c_i{i inside [1:10]};
endclass

a u_a;
initial begin
   u_a = new();
   u_a.randomize();
end

endprogram;

这样子出来的i值就是受ntb_random_seed控制的,一般都是这样用。
 楼主| 发表于 2013-1-22 21:38:06 | 显示全部楼层
回复 11# realplayer


   高手啊,十分感谢。不过这是systemverilog吧,如果用verilog怎么写呢?
发表于 2013-1-23 23:16:12 | 显示全部楼层
$urandom(256);
你这样写就是指定种子为256,当然换随机种子也不起作用了。把256去掉再试一下。
再个,random相关的可以看一下sv的IEEE标准说明文档。
 楼主| 发表于 2013-1-24 17:32:52 | 显示全部楼层
回复 13# kaka5358


   嗯,我再看下,sv没有用过。十分感谢
发表于 2013-3-23 22:40:06 | 显示全部楼层
直接加选项 +ntb_random_seed_automatic
这样的种子都是随机产生的   不就可以了
发表于 2015-11-16 14:29:17 | 显示全部楼层
受教了
发表于 2017-6-8 01:07:25 | 显示全部楼层
Thanks..
发表于 2017-6-9 10:35:35 | 显示全部楼层
紫薯布丁
发表于 2021-3-17 19:21:16 | 显示全部楼层

can anyone please share vcs 2020 thank you
发表于 2022-3-29 17:10:45 | 显示全部楼层
学习一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:19 , Processed in 0.032459 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表