在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1427|回复: 1

[求助] 求助,uvm报了如下错误该咋办呢,把我sequence kill了。

[复制链接]
发表于 2022-3-31 16:55:21 来自手机 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[SEQREQZMB] The task responsible for requesting a wait_for_grant on
sequencer ‘uvm_test_top.m_env.m_xxx_agent.m_sequencer’ for sequence
‘case_rstn_rand_vseq’ has been killed, to avoid a deadlock the
sequence will be removed from the arbitration queues.
 楼主| 发表于 2022-3-31 16:56:32 来自手机 | 显示全部楼层
我查百度有人说加个stop_sequences的function,可是应该在哪加呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 19:31 , Processed in 0.016724 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表