在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 7 |主题: 6541|排名: 25 

[求助] 关于覆盖率搜集  ...2 Felix_ZYF 2015-10-9 106564 桂花酒 2024-1-26 16:23
[求助] 求高手:regression sim, "bsub: command not found", 怎么解决?? sanfranc 2015-12-18 46570 gaurson 2015-12-21 01:04
[求助] 问题:UVM中既然已经有了port和export,问什么还要有IMP? guolehaohao 2013-9-12 76560 rnistake 2018-6-23 16:50
[求助] 求助:ius10.2 dump fsdb的问题  ...2 oscillator_cn1 2012-8-27 106550 bwq 2018-3-5 23:52
[求助] IC工程师该如何进一步提升自己的能力  ...2 fuxiaolicaicai 2021-12-5 116526 徐常杭 2023-1-30 10:10
[求助] Virtuoso AMS仿真报不能运行Spectre sanfranc 2012-5-2 56494 darkduck 2017-2-24 16:06
[求助] HELP:什么情况下仿真会在“0”时刻停止?  ...2 oscillator_cn1 2013-3-15 176488 xidianstudent 2017-12-4 20:11
[求助] 有什么办法直接打开vcd文件看波形吗? 新人帖 jzyfff 2020-11-9 56481 jzyfff 2020-11-10 15:06
[求助] del 删除 chencsw 2011-7-26 06513 chencsw 2011-7-26 12:16
[求助] 关于UVM中一个警告的疑问  ...2 guolehaohao 2014-4-24 116458 atlandis 2015-8-14 14:15
[求助] system verilog编辑软件?  ...2 hawaii_e 2011-2-13 166396 forrest320 2011-3-27 14:53
[求助] UVM1.1应用指南及源代码分析 第一章的例子代码  ...2 wangyukan 2014-8-14 116415 ibubapa 2020-3-14 12:06
[求助] uvm reg_model 求助  ...2 109010118 2015-5-28 166464 ForrestZhang719 2019-3-5 16:46
[求助] 求问一个uvm starting phase 为 null 的问题 attach_img zidanemarks 2015-1-26 76451 苦学僧 2023-6-20 10:05
[求助] SystemVerilog很纠结的一个问题(真的解决不了了吗?都想哭了)  ...2 qiantianyiqian 2011-6-28 186436 tjuyfb 2013-5-26 18:14
悬赏 [求助] IC验证&测试 - [悬赏 1 信元资产]  ...2 zk314335084 2011-7-13 126382 coolwind1988 2011-8-9 12:33
[求助] Cadence的AMS混和仿真中引用spectre netlist为什么看不见netlist部分的内部信号 hawkwgp 2011-8-2 56436 wsp2011 2021-5-17 17:13
[求助] VCS报错:recipe for target 'product_timestamp' failed attach_img qq781946487 2020-12-8 36461 Bil_LL 2022-6-23 22:13
[求助] Synopsys SMS 中的memory compiler 如何产生 (*.masis) 文件 hichip_fire 2015-7-13 66393 Kingwhale 2024-1-19 09:54
[求助] ncverilog仿真时reg默认初始值为X,能否改成0? yyhh830 2013-10-25 76370 出尘入世 2015-4-15 20:56
[求助] 求推荐学习System Verilog的经典教材~ FionaM 2012-9-16 36398 FionaM 2012-9-23 20:00
[求助] svt vip使用问题  ...2 7号时代 2019-7-25 156376 echo1209 2023-7-25 15:30
[求助] 请教一个uvm_do_with的问题 xiaoyeah 2014-12-29 76360 qw342333 2015-1-7 23:47
[求助] 模块级验证和系统级验证的区别在哪呢?soc验证的参考模型是用模块级的还是重新写呢? qwer2016 2018-3-4 56337 cyccyz 2022-5-13 16:57
[求助] 求教VCS编译单个简单模块就卡死是什么原因 attach_img  ...2 open82977352 2016-10-11 126401 yuanpin318 2022-3-31 10:13
[求助] 求助一下make all的时候出现如下错误 苍天有井自来空 2018-4-8 46398 wangwenqiang 2019-5-19 00:14
[求助] AXI的VIP的dw_vip_setup生成例子不成功。求助!!! zhongdong911 2013-10-14 66343 longnv521 2019-1-8 19:28
[求助] VCS后仿问题 dearhero 2013-6-6 56335 盘古大帝 2021-12-19 20:53
[求助] NC-Verilog 后仿所遇到的问题 attach_img  ...2 muchuanhua 2012-3-1 116355 muchuanhua 2012-3-7 14:10
[求助] 关于UVM使用DPI,能否从C语言中调用sequencer里面的task  ...2 iyaowu 2015-6-11 136336 Andy126 2018-12-16 12:01
[求助] 求教如何测试运放的开环增益,单位增益带宽? nkme 2012-12-14 16325 jr3749352123 2013-3-3 13:50
[求助] 同意的网表,为啥工具不同,仿真结果也不同啊 meijingguoyu 2010-11-26 86327 xiaoxiaoshi 2011-1-20 19:13
[求助] 萌新求助,Silvaco运行时出现如下报错,怎么解决? 新人帖 zjk2019 2019-12-19 76296 zjk2019 2020-3-2 20:04
[求助] UVM中的export存在的意义  ...2 whwjez 2016-10-20 106281 uiwjyb 2018-10-26 11:05
[求助] 第一次用SENTAURUS,结果找了DIODE这个例子,这个例子是不是不全呀 attach_img  ...23 hokee 2011-5-4 206274 kora17 2016-6-3 10:49
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 21:57 , Processed in 0.026463 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块