在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: iyaowu

[求助] 关于UVM使用DPI,能否从C语言中调用sequencer里面的task

[复制链接]
发表于 2018-11-19 16:54:39 | 显示全部楼层
学习中。。。。。
发表于 2018-11-20 09:11:15 | 显示全部楼层
没听说DPI不能被Sequence用啊。
发表于 2018-11-20 09:23:54 | 显示全部楼层
直接DPI也可以
发表于 2018-12-16 12:01:41 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 09:27 , Processed in 0.016599 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表