在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6710|回复: 10

[求助] 求助:ius10.2 dump fsdb的问题

[复制链接]
发表于 2012-8-27 12:15:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
     我之前使用的是ius8.2,在仿真中dump fsdb波形,丝毫没有问题,但是,更新为ius10.2之后,它为什么就不认呢,除了工具版本不同,使用的命令完全是一样的呀。
     命令如下:irun +access+rwc -64bit -delay_mode dist -notimingchecks -noneg_tchk -sv -coverage functioanl -covoverwrite -timescale 1ns/nns +incdir+../../svtb+../../bfm/ -sysv_ext .sv -f ./files.f +loadpli1=/tools/verdi200710/share/PLI/nc58/LINUX64/nc_loadpli1/debpli.so:deb_PLIPtr
     改成ius10.2之后,报的error是:
    SYSTEM   ERROR :  ACC PLISVGI
       The routine acc_handle_by_name() cannot be used in a system task or function called from an object of type vpiProgram. Use the SystemVerilog VPI instead(clause 27,IEEE Std 1800-2005)
       /home/project/one/test/ts11/test.sv, 32: $fsdbDumpvars(0,top.dut.u_bl)
 楼主| 发表于 2012-8-30 15:03:41 | 显示全部楼层
没人知道么?
自己解决了,不过还是用的釜底抽薪的法子——更新verdi到201101.
发表于 2012-9-3 17:28:01 | 显示全部楼层
看起来是不兼容啊, 07的verdi也太老了
发表于 2012-11-8 13:56:47 | 显示全部楼层
信元不够用阿
 楼主| 发表于 2012-11-8 16:51:53 | 显示全部楼层
回复 4# feigle

每天坚持签到,坚持一个月就有钱了
发表于 2012-11-8 20:17:32 | 显示全部楼层
回复 5# oscillator_cn1
发表于 2013-5-19 16:04:41 | 显示全部楼层
.............................
发表于 2013-5-19 16:10:49 | 显示全部楼层
.............................
发表于 2013-5-19 16:30:57 | 显示全部楼层
eetop.cn_INCISIV10.20.030B.part002
发表于 2018-3-5 23:51:47 | 显示全部楼层
9还没安装上呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 06:46 , Processed in 0.027239 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表