|
楼主 |
发表于 2013-3-16 09:20:57
|
显示全部楼层
以下是代码,在base_seq中已经raise_objection了,然后在write_seq中还需要再写一遍么?
- class axi_master_write_base_seq extends uvm_sequence #(axi_transfer);
- function new(string name ="axi_master_write_base_seq");
- super.new(name);
- endfunction
- `uvm_object_utils(axi_master_write_base_seq)
- virtual task pre_body();
- if(starting_phase != null)
- starting_phase.raise_objection(this,{"Running sequence '",get_full_name(),"'"});
- endtask : pre_body
- virtual task post_body();
- if(starting_phase != null)
- starting_phase.drop_objection(this,{"Completed sequence '",get_full_name(),"'"});
- endtask : post_body
- endclass : axi_master_write_base_seq
- class write_seq extends axi_master_write_base_seq;
-
- `uvm_object_utils(write_seq)
- function new(string name="write_seq");
- super.new(name);
- endfunction : new
- virtual task body();
- `uvm_info(get_type_name(),"Starting...",UVM_MEDIUM)
- `uvm_do_with(req,{req.burst==INCR;})
- endtask
- endclass : write_seq
复制代码 |
|