在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (156) |订阅

后端讨论区 今日: 2 |主题: 22209|排名: 14 

[求助] 时序分析报告 lytyzu 2016-7-17 11813 Lover_Momo 2016-7-18 08:17
[求助] ICC PR ROUTE 时出现 port is completely blocked by fixed obstructions csm2012 2015-12-7 22471 vlsinaresh 2016-7-17 03:23
[求助] 导入lef后pin name看不见?求助啦---已解决 attachment galizhangjiali 2016-2-4 11731 maomao198477 2016-7-16 15:54
[求助] memory版图入门该学点什么东西呢?大神求助。 德布劳内 2016-6-15 22209 zero_0 2016-7-16 10:46
[求助] 时序报告中最后一列的 r f 是怎么决定的 jiazhang 2016-7-15 11580 Timme 2016-7-15 22:54
[求助] 付费请生成smic.18工艺的RAM和rom sevep 2016-5-16 31885 749936599 2016-7-15 19:21
[求助] 请教cpf 命令create_analysis_view 和edi 命令create_analysis_view 之间的异同? attach_img paulsuzhou 2016-7-14 22627 leikey 2016-7-15 17:51
悬赏 [求助] icfb(IC51) 如何生成64bit的cxt? - [悬赏 2000 信元资产] superguy 2016-7-2 22904 superguy 2016-7-15 16:41
[求助] ncsim dump 文件大于8G时simvision 打不开波形 yanggaow 2014-4-25 32768 mailtoanoopbabu 2016-7-14 19:54
[求助] calibre做svs时,与非门A/B输入端互换,报错 fzj1992130 2016-6-30 63307 firewolf223 2016-7-13 20:36
[求助] scan mux后需不需要create_generated_clock coyboy911 2016-6-27 42802 443665663 2016-7-13 13:00
[原创] 关于cts的trunk、leaf、top,请大神帮忙答疑解惑 attach_img 小羊鱼 2016-7-12 16968 leikey 2016-7-13 11:42
[求助] isolation cell attach_img xyz1123456 2016-7-11 02713 xyz1123456 2016-7-11 21:41
[解决] 跨越时钟域问题 attachment  ...2 wu_xiaolin_110 2011-3-23 116785 tata31 2016-7-11 15:10
[求助] RC参数提取,EDI  ...2345 zhq415758192 2011-3-8 4127594 SHAOJIHUA1234@1 2016-7-11 14:27
[求助] 求助!encounter进行P&R后,clkgate holdtime 不满足 attach_img  ...2 yqk39 2013-7-5 138517 windwithgone 2016-7-11 07:51
[招聘] magic-semi 公司IC数字后端职位 (实习生,应届生,engineer和leader) magic-semi 2016-3-28 93916 材物之自由飞翔 2016-7-10 23:01
[求助] dc综合路径??? dyytx 2016-7-9 01254 dyytx 2016-7-9 13:10
[求助] 在dc中使用saif测试功耗 为啥功耗一直是0 hurrywork 2016-1-26 13034 jiaoxin12366 2016-7-8 11:58
[求助] 求大神指点 天天快乐49 2016-7-6 22308 天天快乐49 2016-7-7 09:34
[求助] PTPX和PrimeRail区别 偶尔很卑鄙 2016-7-6 02904 偶尔很卑鄙 2016-7-6 18:43
[求助] compile和compile_ultra的区别 kanikanixjj 2016-7-1 14831 826203476 2016-7-6 17:03
[求助] 为什么综合的时候不要对门控时钟设置dont touch??? dyytx 2016-7-4 12442 杰克淡定 2016-7-6 10:54
[求助] Formality Ultra ECO谁用过啊 cc123ss 2016-7-4 13472 Timme 2016-7-4 22:15
[求助] ECO单元tile为gaunit,插不到unit tile中,该怎么办? linzhongyu 2016-7-4 02229 linzhongyu 2016-7-4 16:13
[求助] icc中如何获取一个cell所在的物理坐标 AveryYoung 2016-1-22 24261 Horizon00 2016-7-4 14:00
[求助] DC 的RTL CHECK olilula 2016-7-4 01563 olilula 2016-7-4 10:49
[求助] 关于dct的milkyway库的设置问题 kuailexiari 2016-7-2 02424 kuailexiari 2016-7-2 21:07
[求助] ICC通过什么命令来导出GDSII文件? xingyun666666 2016-2-19 93324 姚圈圈 2016-7-2 20:35
[求助] 求助用spectre代码进行仿真该如何求动态功耗呢? arch_devil 2014-7-11 12298 wwweit 2016-7-2 18:18
[求助] cloning和buffeing区别 xizay 2016-7-1 11743 sjtusonic 2016-7-1 13:56
[求助] set_output_delay 540570549 2016-6-30 22712 540570549 2016-6-30 20:42
[求助] STA中block-base graph-base path-base的关系 kiryu 2016-6-30 01326 kiryu 2016-6-30 16:11
[求助] 关于layout lvs验证中的BOX(已解决) attach_img univerw 2012-10-12 84191 univerw 2016-6-30 15:10
[求助] 求助时钟综合的问题 attach_img apple_hual 2016-6-30 31767 apple_hual 2016-6-30 13:08
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-19 02:59 , Processed in 0.035674 second(s), 9 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块