在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3671|回复: 8

[求助] DC综合约束设置的一些经验值设置~~~

[复制链接]
发表于 2012-9-20 15:50:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
做DC逻辑综合时,一些约束不知道如何设置,根据什么设置,最好有一些经验值:
如下面这些约束的设置:
set_clock_uncertainty
set_clock_transition
set_load
set_fanout_load

请高手指点,
发表于 2012-9-21 13:41:22 | 显示全部楼层
set_clock_uncertainty  是留余量用的,一般2%~5%之间吧,你要是想把余量留大点可以设大一点,只要时序收敛也没有关系
set_clock_transition 这个东西只是DC工具用来分析时序和功耗用的,只是一个假设值,稍微设置一下就OK,个人觉得甚至可以不设,因为这个要等时钟树布出来后的值为准
set_load嘛,这个你可以参考输入PAD的load大小
 楼主| 发表于 2012-9-24 10:08:37 | 显示全部楼层
非常感谢!
发表于 2012-10-4 15:31:53 | 显示全部楼层
同问这个问题
发表于 2012-10-6 19:41:33 | 显示全部楼层
好东西 谢谢分享
发表于 2013-12-3 10:37:18 | 显示全部楼层
还有高手能再具体指点一下吗
发表于 2015-10-30 12:34:24 | 显示全部楼层
00000000000000000000
发表于 2015-10-31 16:53:02 | 显示全部楼层
发表于 2016-10-17 13:14:05 | 显示全部楼层
正在学习中
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 17:42 , Processed in 0.026938 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表