在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3134|回复: 5

[讨论] 在DC和place阶段的max tran violation

[复制链接]
发表于 2016-10-8 14:40:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
遇到一个疑惑,在DC和place时,clock network都是ideal的,怎么可能会有tran 的violation,就是约束的不合理吧?因为set_clock_transition的值比set_max_fanout值大,一般在DC和place阶段不会有max  tran的violation吧?
 楼主| 发表于 2016-10-8 14:46:03 | 显示全部楼层
回复 1# xingyun666666


   写错了,是set_max_transition,不是set_max_fanout。
发表于 2016-10-8 14:56:50 | 显示全部楼层
ideal clock network只是时钟信号是理想,其他的signal nets也受max_tran的约束
 楼主| 发表于 2016-10-8 17:00:38 | 显示全部楼层
回复 3# zhzhixin


    很开心收到您的回复,也就是说,在DC和place是的max  tran  violation都是在普通的与或非门的pin上?不会在时序器件 reg的CK pin上报出violation的?
另外,我发现有些net报出的max  tran  violation,感觉很怪,不是只报在pin上吗?
发表于 2016-10-10 10:52:04 | 显示全部楼层
回复 4# xingyun666666


   应该说在除了clock pin不会报violation外,reg data pin也会报violation。   至于net有错误,应该也是归结reg data pin 和 combinational gate pin 这些pin的violation,毕竟net最终也是连接到pin,可能是这些net的fanout数量不止一个,所以报的是net tran violation,我的理解是这样的。
发表于 2016-10-10 17:15:56 | 显示全部楼层
回复 5# zhzhixin


    transition violation 报在 net上的情况是一种什么情况?按你说的,是因为后面fanout很多,并且都没法驱动,报在公共路径上吗?为什么不按pin报呢?   我的理解是:一般的都报在 pin上的情况,就是接到这个pin的net 没法驱动这个pin(net 里有个属性 actual_fall_transition_max,actual_rise_transition_max 这两个值比sdc里设置的max_transition值大,然后就有violation),然后icc把这个pin写到report里报出来。请您解释一下,report里显示net transition violation是一种什么原理?谢谢。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 17:54 , Processed in 0.031010 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表