在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 36 

[求助] 请教一个菜鸟问题。verdi工具中怎么进行uvm东西的debug呢 attachment  ...23 naonaoli 2017-1-6 2114503 hawaii_e 2020-11-30 12:50
[求助] 关于systemverilog中cast的一系列问题 attach_img Lucky丶You 2020-11-26 62526 Lucky丶You 2020-11-30 08:04
[讨论] TI 28335 DMA : XINTF TO RAM2 程序仿真debug问题 attach_img 王钰 2020-11-27 01452 王钰 2020-11-27 18:20
[求助] System Verilog中数组声明 ' 符号的问题,急求 我不是三毛 2020-11-25 21777 年轻的韭菜 2020-11-26 17:57
[原创] 有没有在成都/长沙的芯片验证大神? 新人帖 RiceTang 2020-11-25 41933 年轻的韭菜 2020-11-26 17:55
[求助] NCSIM中如何显示波形中的glitch 新嘴小王安子 2020-7-9 63872 新嘴小王安子 2020-11-26 09:42
[求助] irun如何能保存数组的值 attach_img 新嘴小王安子 2020-11-25 42039 新嘴小王安子 2020-11-25 20:38
[讨论] 仿真器64bit和默认的32bit有啥区别嘛? 诸葛小天 2020-11-24 21747 诸葛小天 2020-11-25 20:05
[求助] SV断言求助 attach_img  ...2 sofan 2014-1-13 1712417 新嘴小王安子 2020-11-24 13:46
[求助] 谁知道我这a1的信号要怎么给呀 attach_img  ...2 王钰 2020-11-13 103499 新嘴小王安子 2020-11-23 18:41
[求助] uvm中寄存器模型uvm_reg_bit_bash_req方法使用出错 elec056 2017-3-27 23779 海思模拟ic 2020-11-20 20:24
[求助] 在QusetaSim下做UPF仿真时,电源始终无法打开 新人帖 attach_img W_Gaia 2020-10-30 31797 W_Gaia 2020-11-20 17:31
[求助] DPI如何传递32位以上的数据 lishuxuan 2020-11-19 11534 lishuxuan 2020-11-20 16:04
[求助] UVM中类的例化用new和create有什么不同?什么时候可以用new?什么时候该用create?  ...2 Jackey_xiao 2014-2-15 1211338 seuzhangle 2020-11-20 13:27
[求助] 如何使用questa sim启动UVM平台 attach_img aixuexidewa 2020-10-23 11754 笃定 2020-11-19 15:58
[求助] 用urg命令合并覆盖率时,总提示tgl不对 thx02334 2014-7-17 85046 fangxing523 2020-11-19 15:26
[求助] formality工具验证求助! Qzzz123 2020-11-18 01491 Qzzz123 2020-11-18 10:05
[求助] 有验证的朋友想换工作的吗(急)? tiger_asic 2020-10-21 21886 tiger_asic 2020-11-17 16:35
[求助] formality工具验证问题!!! Qzzz123 2020-11-4 52354 anpengfei 2020-11-17 01:40
[求助] gvim 标签页管理 attach_img zhangdeshuai 2020-11-15 52119 zhangdeshuai 2020-11-16 18:05
[求助] 关于vcs编译和运行时候的寄存器初始化问题 新人帖 高乐 2020-11-13 35090 高乐 2020-11-16 09:54
[求助] 有做过UART2BUS的开源项目吗,求指导 aixuexidewa 2020-11-10 62025 aixuexidewa 2020-11-13 18:52
[求助] questa编译uvm组件不通过 新人帖 wxy123 2020-9-3 11375 张崇钰 2020-11-13 16:07
[求助] perl脚本问题求助 attach_img qq781946487 2020-11-12 11724 A1985 2020-11-12 18:28
[求助] UVM寄存器模型能否对寄存器中的某个域单独操作 新人帖 litengmu64 2020-11-10 52436 张崇钰 2020-11-12 14:17
[求助] questasim怎么进行部分的notimingcheck 新人帖 qq82061489 2020-11-12 01460 qq82061489 2020-11-12 11:33
[讨论] sysnopsys attach_img 石头111 2020-11-9 21955 石头111 2020-11-11 15:26
[求助] NC-verilog怎么搭建UVM验证环境? just_meme 2020-11-9 11800 诸葛小天 2020-11-10 15:49
[求助] 有什么办法直接打开vcd文件看波形吗? 新人帖 jzyfff 2020-11-9 57089 jzyfff 2020-11-10 15:06
[招聘] 【北京/成都】奕斯伟AI芯片招聘 attach_img chenyunxin 2020-11-9 02215 chenyunxin 2020-11-9 23:11
[求助] systemverilog的断言放在什么位置呢? bbpfancy 2013-9-26 86764 iNostory 2020-11-9 20:20
[求助] uvm sequence 发生错误的crc的包,怎么搭建 dyytx 2016-10-23 94152 gzy123456789 2020-11-9 15:50
[原创] 关于UVM中C model的理解和Cookbook中如何引入C_based_stimulus 完整实例 attachment leapoo 2014-7-25 23626 琴声悠扬 2020-11-9 15:13
[求助] 有人看过《UVM实战》第二章的波形嘛?为什么rxd,rx_dv这些数据都是x态? attach_img 梦醒依惜醉 2020-11-9 01524 梦醒依惜醉 2020-11-9 14:52
悬赏 [原创] uvm_test中的相关问题 - [悬赏 1 信元资产] attach_img mioyo 2020-10-23 62670 mioyo 2020-11-6 14:55
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 19:34 , Processed in 0.026346 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块