在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 36 

[求助] uvm环境卡住,仿真时间一直是0 barbossa 2020-7-28 01412 barbossa 2020-7-28 10:34
[求助] UVM环境卡住,仿真时间一直为0 barbossa 2020-7-28 01347 barbossa 2020-7-28 10:24
[原创] 上海大厂验证职位 linxi1123 2020-7-27 01555 linxi1123 2020-7-27 20:18
[求助] systemverilog problem in vcs  ...2 nano_dv 2012-6-21 1617304 xieerman1 2020-7-27 15:15
[讨论] 面试官:验证环境如何搭建的 新人帖 q1297612794 2020-7-25 42097 年轻的韭菜 2020-7-27 09:45
請問有用過SpyGlass  ...234 csis369 2009-4-10 3518603 iNostory 2020-7-24 14:50
[求助] questasim破解求助 fuxiaolicaicai 2020-4-18 32683 auv0513 2020-7-24 14:38
悬赏 [求助] SDIO 异步中断 - [悬赏 2 信元资产] beny75 2020-7-20 22139 新嘴小王安子 2020-7-23 11:33
[求助] UVM的TB中用mailbox和TLM Port有什么区别? 飞扬紫百合 2014-6-12 310039 奥卡宴 2020-7-23 09:57
[求助] XA VCS混仿编译问题  ...2 e_epsh 2017-9-27 106775 奥卡宴 2020-7-23 09:19
[求助] 小白求救!!!!! 新人帖 attach_img DIDIDOOM 2020-7-21 21616 gaurson 2020-7-22 17:48
[讨论] 时钟分频模块延时输出的作用 新嘴小王安子 2020-7-20 01984 新嘴小王安子 2020-7-20 20:24
[求助] 怎么交互仿真 新嘴小王安子 2020-7-16 51347 新嘴小王安子 2020-7-20 20:18
[求助] DVE中字体显示有问题 attach_img 460641044 2020-7-20 02364 460641044 2020-7-20 20:03
[求助] 请教:uvm_config_db(int)::get(null,) kahn01011 2020-7-19 21481 PIC~~ 2020-7-20 14:32
[求助] 北京/上海-AI芯片独角兽燧原科技诚聘SOC验证\UVM验证工程师,薪资40~80W+期权股票 attach_img  ...23456..7 jx87212 2020-3-9 6312601 jx87212 2020-7-20 08:18
[求助] 请问,能否通过string找到类成员 kahn01011 2020-7-14 61883 kahn01011 2020-7-19 22:32
[讨论] systemVerilog中流操作符的使用 xiuxing056 2020-6-18 92413 xiuxing056 2020-7-17 23:44
[求助] 想要过滤掉vcs报的一个warning,有何好办法 logue 2016-1-6 33973 去芯草苔 2020-7-15 11:07
[求助] 请问sv或者uvm有没有把类下面所有成员名字返回到一个queue里的函数 kahn01011 2020-7-13 71948 dtyuzhou 2020-7-15 10:03
[求助] 秋招验证工程师面试怎样讲清楚自己的项目 yd452133823 2020-7-15 11629 yd452133823 2020-7-15 08:54
悬赏 [求助] SRAM部分区域无法写,可以读,请大神帮忙分析一下原因。 - [悬赏 100 信元资产] attach_img  ...23 vectorli 2020-6-8 268795 新嘴小王安子 2020-7-14 16:14
[求助] 想找验证岗位小白秋招比较迷茫,希望有经验的朋友能教教我 yd452133823 2020-7-11 21834 新嘴小王安子 2020-7-14 16:03
[求助] uvm工程的文件组织结构 新人帖 Energy_Zheng 2020-7-13 21785 Energy_Zheng 2020-7-14 12:34
[求助] 那位高手可以发一个spyglass脚本操作的例子  ...23 bobo1982 2012-7-22 2814743 大龙猫 2020-7-13 18:06
[求助] nc iccr 装载多个覆盖率数据的时候报错 851018986 2016-12-8 32445 chiphomer 2020-7-13 15:08
[求助] SD卡读写问题 新嘴小王安子 2020-4-29 11390 新嘴小王安子 2020-7-13 09:18
[求助] 求助,VCS在ubuntu 64位的问题  ...2 chen.terry 2013-2-26 1517120 chingyy000 2020-7-10 16:50
[求助] 何谓BDD?其在数字IC设计中起何作用? beyonce 2010-4-19 22229 chengwei1996 2020-7-10 12:13
[原创] 求助,questasim 点击project上面的工具栏 就弹出错误 新人帖 attach_img yd452133823 2020-7-1 21483 yd452133823 2020-7-10 08:48
[求助] system verilog keyword 'class' is not expected to be used in this context  ...2 xm900626 2014-12-25 1014487 linzhang 2020-7-8 14:39
[求助] uvm实战 新人帖 小橙子 2020-7-6 32173 gaurson 2020-7-7 17:39
[讨论] 验证环境如何自动化parameter? luoyanghero 2020-7-1 72093 shuidi 2020-7-7 09:22
[求助] How to solve "Dumping VCS Annotated Stack" error???  ...2 飞扬紫百合 2015-4-17 108989 crueler 2020-7-6 21:05
[讨论] uvm1.2中default_sequence问题 xiuxing056 2020-7-2 22365 xiuxing056 2020-7-3 22:40
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 17:38 , Processed in 0.025581 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块