在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 0|主题: 6695|排名: 78 

悬赏 [求助] 问下各位大神Tessent MemBist 或者mentor MBIST是一个工具吗?工具在哪可以下载 - [悬赏 100 信元资产] a464396212 2022-2-23 41468 mandrake 2022-2-23 11:11
[求助] 关于用irun跑uvm  ...23 oscillator_cn1 2012-5-4 2120630 翻滚的咸鱼 2022-2-22 13:52
[求助] 一个有意思的问题 追乐人66 2022-2-20 21322 年轻的韭菜 2022-2-22 13:24
[原创] sequence 如何调用sequencer 的资源 追乐人66 2022-2-20 21422 年轻的韭菜 2022-2-22 12:10
[求助] dc_shell可以启动,design_vision没反应,是什么原因? attach_img lmwzm 2014-9-23 95828 edayz 2022-2-21 22:28
[原创] uvm run_phase 和 main_phase 的区别 及疑问 追乐人66 2022-2-19 33617 saipolo 2022-2-21 22:11
[原创] uvm一些名词翻译困惑 追乐人66 2022-2-19 41643 eaglezhang01 2022-2-21 20:07
悬赏 [求助] VCS运行UVM时报错 - [悬赏 10 信元资产] attach_img  ...2 happysingle 2022-1-25 154024 zhangdeshuai 2022-2-21 13:57
[求助] fork join问题  ...23 eextw6 2021-9-8 217459 eextw6 2022-2-21 10:42
[求助] 已解决 新人帖 zfling 2022-1-17 42470 xingyuehui152 2022-2-18 19:27
[求助] 跪求各位Formality形式验证的问题 attachment gongxiao 2013-8-10 68658 KSCNN 2022-2-18 15:14
[求助] 通过merge之后的覆盖率,可以再拆分查看哪个case覆盖了哪一个分支吗? 新人帖 attach_img Distent 2022-1-12 61749 lhy624689564 2022-2-17 19:53
[求助] 如何实现仿真数据的self check singerhaha 2022-1-12 61900 lhy624689564 2022-2-15 17:51
[求助] 请教uvm中void'(uvm_config_db#(int)::get())的void'是什么意思  ...2 maomaogou 2018-8-9 108313 lhy624689564 2022-2-15 17:46
[求助] 异步复位时,复位值变动的时候,仿真结果与预期不符。 attach_img  ...23 桅杆 2021-12-21 257228 lhy624689564 2022-2-15 10:21
[求助] vip的monitor监测数据与实际波形不一致问题 梦醒依惜醉 2022-2-14 01424 梦醒依惜醉 2022-2-14 16:10
[求助] VCS2018仿真无法启动sim的问题 新人帖 weizhl08 2022-2-11 31952 空白MAX 2022-2-13 00:12
[求助] 有人做过VCS+UPF的仿真吗? attach_img  ...2 kerk 2015-4-21 1912151 xue_tianli 2022-2-12 23:35
[求助] vcs 2016破解问题  ...2 思慕悟言 2017-2-25 1911599 edayz 2022-2-12 21:44
[求助] 一个initial块中可以同时调用多个任务吗,他们之间有顺序吗? 努力的小帅兹 2022-2-10 31595 fengzhiyong123 2022-2-11 10:15
[求助] 谁有OneSpin 验证工具的教材啊,谢谢啦~~~~ meijingguoyu 2011-7-18 43588 dogor1 2022-2-11 09:58
[求助] systemverilog $cast的使用 attachment  ...2 xuhaoee 2015-1-3 108648 许晴125 2022-2-10 15:19
[求助] uvm_set_verbosity用法 attach_img  ...2 新嘴小王安子 2022-2-8 104308 新嘴小王安子 2022-2-10 10:48
[讨论] uvm在ic验证里的重要性 新人帖 xhasy 2022-2-8 31911 gaurson 2022-2-9 18:16
[求助] 多domain,run_time_phase的sync attach_img 许晴125 2022-1-24 42104 许晴125 2022-2-9 11:32
[求助] 跑PEX出现问题 zhanggd 2013-8-7 45137 晬婴 2022-2-8 19:14
[原创] dp动态切换分辨率和刷新率的原理和流程是什么? 新人帖 attach_img Tyrion_Ye 2022-1-29 21640 Tyrion_Ye 2022-2-8 12:47
[求助] UVM objection attach_img  ...23 许晴125 2022-1-20 246359 xue_tianli 2022-2-4 22:06
[求助] irun 仿真问题 fengzhiyong123 2022-1-18 82057 xue_tianli 2022-2-4 22:02
[求助] systermc 内建函数 attach_img 许晴125 2022-1-27 11999 许晴125 2022-1-27 14:07
[解决] 删除 janlesion 2022-1-27 01668 janlesion 2022-1-27 11:17
[求助] xcelium获取sram例化层次和对应的module名 a7565169 2022-1-27 01542 a7565169 2022-1-27 10:03
[求助] 用sv写了一个小模块,想打印出字符串的信息,但是terminal里没有显示打印的信息 attach_img sxg1647606637 2022-1-26 41685 sxg1647606637 2022-1-27 08:48
[求助] uvm中有BFM吗是不是就是driver ? mil818 2014-12-12 44868 大瑞飞飞 2022-1-26 17:32
[求助] irun/xrun如何得到例化的层次和路径 a7565169 2020-6-3 93486 a7565169 2022-1-26 16:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 05:19 , Processed in 0.023800 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块