在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xiaoxiaoqi

[求助] 求助,vcs收集代码覆盖率fsm状态没有

[复制链接]
发表于 2022-6-19 23:48:23 | 显示全部楼层
遇到了同样的问题,求助
发表于 2022-8-16 10:48:52 | 显示全部楼层
同样问题加一,求助,隔壁链接,也是同样问题,按照里面的教学做过,还是有问题,vcs dve 多个代码覆盖率合并出现的warning问题 https://bbs.eetop.cn/thread-887920-1-1.html
(出处: EETOP 创芯网论坛 (原名:电子顶级开发网))
发表于 2022-8-22 23:42:08 | 显示全部楼层


Pwny 发表于 2022-6-19 23:48
遇到了同样的问题,求助


有很多原因,可能是使用exclude file把DUT的某个子模块干掉了,更可能的是URG认为你的环境里压根没有FSM, 哪怕你调VERDI看原理图有状态机。

举个例子,写一个两段式或者三段式状态机,只有俩状态,你会发现报这个warning; 然后你把状态增加到三种,这个warning就没了。

还是有限检查一下自己的design吧
发表于 2022-8-24 10:45:32 | 显示全部楼层


seabeam 发表于 2022-8-22 23:42
有很多原因,可能是使用exclude file把DUT的某个子模块干掉了,更可能的是URG认为你的环境里压根没有FSM, ...


我用DVE打开.vdb能看到FSM的覆盖率情况,但是URG还是有这个warning,还能有啥原因
发表于 2022-8-24 15:02:09 | 显示全部楼层


alexaixuexi 发表于 2022-8-24 10:45
我用DVE打开.vdb能看到FSM的覆盖率情况,但是URG还是有这个warning,还能有啥原因 ...


有这种情况可以去synopsys提case了
发表于 2022-8-24 15:19:15 | 显示全部楼层
好吧
发表于 2022-9-12 22:57:20 | 显示全部楼层


anpengfei 发表于 2020-12-21 09:09
simulation时候也要加上-cm XXX吧


是的,谢谢前辈
 楼主| 发表于 2022-9-23 15:49:49 | 显示全部楼层
我发现urg合并后看不到fsm,但是dve可以看到vdb里面有fsm数据,应该是urg有bug
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 04:09 , Processed in 0.023538 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表