在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3600|回复: 6

[求助] 关于SDC约束中的追加约束-add

[复制链接]
发表于 2014-1-14 11:49:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大牛,请教一个关于SDC约束中的追加约束-add的用法:
1.如果一个时钟管脚会有两种时钟进入(如CLK_100M CLK_50M),
我们一般的约束是:
create_clock -name {CLK_100M} -period 10.000 -waveform { 0.000 5.000}  [get_ports clk_in]
create_clock -name {CLK_50M} -period 20.000 -waveform { 0.000 10.000} [get_ports clk_in] -add

2.我的问题是,如果这个管脚出来的时钟是驱动逻辑的,那么,为了保证时序OK,需要逻辑的关键路径<10.000ns,也就是取时钟周期最小的那个值,那么为什么不只约束一个:
create_clock -name {CLK_100M} -period 10.000 -waveform { 0.000 5.000}  [get_ports clk_in]  ??

3.create_clock -name {CLK_100M} -period 10.000 -waveform { 0.000 5.000}  [get_ports clk_in]
create_clock -name {CLK_50M} -period 20.000 -waveform { 0.000 10.000} [get_ports clk_in] -add
是不是已经代表创建了两个时钟树(时钟网络)啊?
发表于 2014-3-18 20:54:04 | 显示全部楼层
按我理解应该dc就是用了100m约束,和时钟树没关系吧
发表于 2014-3-19 07:37:57 | 显示全部楼层
共享1个pin的2个clock必然用在2个不同的地方
所以必然也要通过set_case_analysis来设置2个clock的实际工作模式
发表于 2014-9-24 09:40:41 | 显示全部楼层
也想只到答案,没有人用过-add么?
发表于 2014-9-24 09:49:14 | 显示全部楼层
版主和各位大大们看过来啊
发表于 2017-1-14 15:58:43 | 显示全部楼层
版主干什么去了??
发表于 2022-4-21 09:45:08 | 显示全部楼层
最近遇到 同一个port上 create 多个时钟的场景,也是使用了-add,   有大牛帮忙解释一下吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 09:35 , Processed in 0.017037 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表