在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 用于仿真噪声注入时的正态(高斯)分布随机序列发生器IP attach_img innovation 2021-12-29 31929 innovation 2022-1-1 00:51
[原创] 关于SOC中的总线AXI/AHB/APB  ...23 ieangel 2012-1-8 2920255 王喜鑫 2021-12-28 11:29
[原创] MIPI D-PHY Spec attachment  ...2345 ytlin0 2014-2-27 4514974 fabr1023 2021-12-24 09:47
[原创] 视频:《致新晋工程师》 asic_service 2021-12-22 01598 asic_service 2021-12-22 15:17
[原创] 请教大家,fpga怎么实现延时计数器比较好? american007 2021-12-21 31646 american007 2021-12-22 00:17
[原创] Mbist在RTL和netlist插入的区别???  ...23 yepizhou 2010-4-15 2012313 smarthb 2021-12-21 13:53
[原创] $readmemh 用vcs编译时如何加载文件 doukuaiwangle 2021-12-8 51727 doukuaiwangle 2021-12-14 23:20
[原创] 2020年的一次转变:从Verilog到SpinalHDL 新人帖 NSSC_feng 2021-1-4 33141 hua200511 2021-12-14 20:40
[原创] Seeking PrimePower_vO_2018 mohamedsaber 2021-12-10 11451 xuwenbing 2021-12-10 11:16
[原创] 手把手教你学FPGA设计-秒表功能 attachment chop147 2018-9-30 32957 gftang 2021-12-9 11:06
[原创] Xilinx FPGA的CCLK时钟频率 attach_img innovation 2021-11-30 72237 innovation 2021-12-3 15:59
[原创] 数字集成电路设计,我的梦 digest agree  ...23456..28 aidepei 2008-12-3 278115816 cq20 2021-12-2 21:31
[原创] FPGA Tools Synthesis QoR Benchmark digest agree  ...234 Timme 2013-6-22 3624660 keepfaith4ever 2021-11-24 11:17
[原创] CMOS 比较器学习总结 attachment  ...2 wkp1992101 2019-5-21 144890 greener 2021-11-23 23:36
悬赏 [原创] ZYNQ的AXI_HP的问题 - [悬赏 10 信元资产] kangliyu 2021-11-12 21762 kangliyu 2021-11-19 11:30
[原创] SystemVerilog学习(1)  ...23 sivar 2010-12-5 2111604 EDAStar 2021-11-11 09:17
[原创] 基于Zedboard和ov5642实现视频采集及VGA输出 attach_img dodoee 2021-11-7 11443 dodoee 2021-11-10 13:06
[原创] systemverilog 1800-2017用户手册 attachment qwe2508 2021-11-8 11406 student321 2021-11-8 21:53
[原创] ADC7606代码verilog版 attachment  ...2 xianrenwang 2017-5-13 185393 durbin 2021-11-7 10:35
[原创] vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形 wide_road 2016-3-11 13650 obigeyes 2021-11-4 17:05
[原创] 终于下载到了 RobustVerilog parser wide_road 2013-10-11 67711 huatiantian 2021-11-4 14:36
[原创] 请教ptpx功耗仿真 atlandis 2020-7-10 42205 dabing52 2021-11-4 12:01
[原创] 这家FPGA“小公司”凭什么可以与赛灵思和英特尔两大巨头正面对决? attach_img jackzhang 2021-10-28 31760 jackzhang 2021-10-29 17:25
[原创] MIPI协议 attach_img rainy112358 2021-10-29 01999 rainy112358 2021-10-29 11:30
[原创] 最富盛名的补码乘法器——Baugh-Wooley乘法器 新人帖 attach_img yywyz 2020-4-15 23433 zhuzhiqi 2021-10-29 09:31
[原创] FIFO控制(数字IC设计基础) attach_img asic_service 2021-2-20 52499 皮蛋不Q 2021-10-27 09:13
[原创] RTL Coding Guide 新人帖 luofei2017 2021-10-27 01530 luofei2017 2021-10-27 08:51
[原创] 关于xilinx的pcie仿真说明!!!!pcie仿真建议看一下  ...23 shiyinjita 2014-3-31 2214469 youngman1987 2021-10-26 11:05
[原创] 有谁知道ZCU111的外部时钟如何配置吗 新人帖 菜鸟学习fpga 2021-10-21 01304 菜鸟学习fpga 2021-10-21 10:56
[原创] matlab仿真的结果和Sigtaltap 抓出的结果如何进行对比? 诠释幸福 2016-9-29 42740 spwedasd 2021-10-16 14:14
[原创] AHB_burst传输相关问题 新人帖 MrJokerH 2021-10-15 21615 MrJokerH 2021-10-15 17:28
[原创] 将CortexM3-DesignStarter简化并移植到DE2-115 attach_img Pasingen 2021-7-26 12118 念桥明月 2021-10-15 14:34
[原创] XC7Z100 学习资料:基于zynq XC7Z100 FMC接口通用计算平台解决方案 orihard1 2019-8-29 14164 xujunzhu 2021-10-15 14:29
[原创] UART基础与面试问题 attach_img littbi 2021-9-22 21854 舀二两爱 2021-10-14 16:32
[原创] 深入理解FPGA加速原理——不是随便写个C代码去HLS一下就能加速的 attach_img  ...2 ccpp123 2020-6-23 144603 zhangxibin3084 2021-10-14 14:56
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 07:16 , Processed in 0.019530 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块