在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 1 |主题: 6535|排名: 29 

[求助] UVM中的`ifdef求助  ...2 wzc92 2017-8-17 125288 naonaoli 2017-10-10 11:15
[讨论] 使用UVM产生fsdb文件的一个问题  ...2 DZ小亮 2012-11-12 1310828 mcm908911 2021-12-10 21:05
[讨论] 有VCS下使用uvm的synopsys的例子吗?  ...2 dearhero 2013-7-13 139390 azkandf 2019-9-5 10:43
[讨论] 验证工作繁琐吗?为什么我觉得很繁琐  ...2 zhuyi1234567899 2013-8-2 126099 wojiuai1123 2013-8-11 01:20
[求助] UVM+questa_sim 10.2c编译报错  ...2 441307320 2014-11-5 157229 mdj1992 2016-11-24 10:46
[求助] 关于UVM使用DPI,能否从C语言中调用sequencer里面的task  ...2 iyaowu 2015-6-11 136314 Andy126 2018-12-16 12:01
[解决] 数字验证的基本内容还有啥呀?  ...2 LinyLin 2018-10-26 133668 uiwjyb 2018-11-12 22:00
[求助] 如何查看Modelsim中的覆盖率?  ...23 shaozhen 2010-3-15 279816 cugjack 2014-9-24 18:57
[资料] SATA2.0规范(PDF)  ...2 chenjiep 2010-6-21 124667 oceanyt 2013-10-25 10:59
[资料] CMOS电路模拟与设计-基于Hspice(PDF及光盘) attachment husi2010 2011-2-26 92810 atxa 2011-3-23 14:31
[求助] 菜鸟来问:verilog怎么调用systemc的module?  ...2 oscillator_cn1 2011-3-15 125882 Andy126 2018-12-16 15:07
[求助] 关于如何设定LDV的环境变量  ...2 ljh3708@qq.com 2011-5-6 135657 liki799 2013-9-8 23:45
[原创] 半导体测试基础资料(mixed, RF) attachment  ...2 gangersun 2011-5-9 125037 chen600 2017-10-19 17:31
[求助] 请教VCS的error  ...2 tbing0377 2011-5-16 1112485 shcet 2015-5-22 09:06
[原创] 在外企,集成电路企业,有没有机会接触核心技术  ...2 bloodtea 2011-7-16 124685 一路樱花飘 2011-8-13 21:22
[求助] 看来这里的人水平都很差!求助:vmm_notify的indicate方法  ...2 asic_wang 2011-8-24 116650 joeljun 2013-11-29 14:46
[原创] calibre lvs报错missing connection attach_img  ...2 zz1514 2011-12-11 1412990 ctqiang9 2014-9-6 09:39
[求助] 请教,questasim 10.a能否仿真uvm1.1?  ...2 hello_2000 2012-3-27 129595 lyu 2016-3-29 23:21
[原创] asic中如何编写测试文件 attachment  ...2 767982376 2012-5-17 114844 sharp_yang 2016-5-9 22:19
[求助] leda检查FPGA问题  ...2 nano_dv 2012-8-7 125934 dasubuaa 2018-3-8 14:03
[求助] 关于Modelsim10.1C仿真问题  ...2 89274616 2012-9-19 134673 Asfcells 2013-4-2 23:31
[求助] 用Design Compiler对ISCAS89 benchmark换library  ...2 HOLLYSMOKE 2012-10-27 108006 awais980 2018-8-19 12:55
[原创] sed和awk的简单学习笔记  ...2 lshj98115 2012-12-13 127065 wawejin 2017-11-6 11:01
[讨论] 关于验证soc芯片中cpu代码问题的讨论  ...2 caicaixuehua 2012-12-15 127289 Andy126 2018-12-22 16:06
[求助] 求助:有用过VCS MX的吗?  ...2 ivyzhang 2013-1-26 1311047 honglin23 2018-9-7 14:21
[讨论] 破解verdi的一个问题  ...2 DZ小亮 2013-1-30 138870 ye_hong_lei 2016-2-27 23:50
[求助] 求助:关于UVM中uvm_config_db传递config类  ...2 oscillator_cn1 2013-5-8 147898 yuanpin318 2018-3-3 08:53
[求助] systemverilog如何实现可变参数宏 attach_img  ...2 eesub 2013-12-10 148929 ligang1986718 2013-12-28 20:56
[求助] Cadence IC6151 在运行spectre仿真出现问题  ...2 macherie 2014-4-13 1411590 名字还可以改 2018-4-21 10:51
[讨论] 关于SPI的问题汇总,跪求大牛前来解答!!!  ...2 yongyuan_3166 2014-7-23 136489 shanzhizilan 2018-5-10 21:45
[求助] Synopsys和Cadence的VIP比较  ...2 pyj19900221 2015-7-14 118168 DS_LOGIC 2017-11-15 23:08
[求助] 请教一个菜鸟问题。verdi工具中怎么进行uvm东西的debug呢 attachment  ...23 naonaoli 2017-1-6 2113867 hawaii_e 2020-11-30 12:50
[讨论] 求教:怎么在filelist中添加类似于宏定义的东西  ...2 7号时代 2019-1-7 159243 mcm908911 2022-12-14 18:53
sparc v8 处理器架构 attachment  ...2 cacc 2009-9-21 153949 name108662 2014-9-23 15:01
[求助] 做微电子需要学习哪些基础课  ...2 tianbin_g 2011-3-11 126144 kudzus 2011-4-26 16:47
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 18:48 , Processed in 0.029562 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块