在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5921|回复: 12

[求助] 菜鸟来问:verilog怎么调用systemc的module?

[复制链接]
发表于 2011-3-15 21:11:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位高手:

      刚才老板问我:写了一个systemc的module,现在要对它进行仿真,testbench是用verilog写的。要怎么样才能用verilog仿systemc的module呢?testbench需要注意什么,还是直接把它当做一个verilog模块一样使用?哪些工具支持这两种语言一起仿真,该怎么使用工具?。。。。。我感觉好多问题啊。有没有人了解一些的,给我解惑一下?
发表于 2011-3-15 21:23:05 | 显示全部楼层
modelsim就可以,具体可以看里面的examples
 楼主| 发表于 2011-3-15 21:29:46 | 显示全部楼层
which one?
发表于 2011-3-16 13:51:35 | 显示全部楼层
也可以看看modelsim的用户手册,应该也有和SC相关的仿真操作。
发表于 2011-3-19 00:22:10 | 显示全部楼层
如果找到方法请不吝赐教一下,我也不知道这个怎么弄.最近一直在研究这个,如果有好资料分享一下
邮箱fanyongwinner@163.com,我这儿有个一资料但是英文的.而且也说得不太细.如果要我可以发给你
发表于 2011-4-20 15:10:55 | 显示全部楼层
楼主,我毕设是要用systemverilog和verilog混用,没有头绪。。你的问题有进展吗
发表于 2011-5-13 16:05:03 | 显示全部楼层
同样很关注这个问题
发表于 2011-5-14 18:31:12 | 显示全部楼层
在Verilog中加入define语句吧
发表于 2012-8-15 21:16:23 | 显示全部楼层
使用modelsim就可以,支持systemC和verilog的混仿
发表于 2014-3-14 10:51:56 | 显示全部楼层
本帖最后由 xmffsf 于 2014-3-14 10:52 编辑

回复 6# Dylanqi

请问可以给我看看你的毕业设计吗,最进想学学sv和verilog混合设计。谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 12:51 , Processed in 0.038426 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表