在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: DZ小亮

[讨论] 使用UVM产生fsdb文件的一个问题

[复制链接]
发表于 2015-2-8 18:22:22 | 显示全部楼层
orlye说的对
发表于 2015-2-9 10:54:11 | 显示全部楼层
$fsdbDumpon();$fsdbDumpvars(0,tb_top);$fsdbDumpfile("./dump.fsdb")这是你原来的顺序。
改为以下顺序就可以了:
$fsdbDumpfile("./dump.fsdb")
$fsdbDumpvars(0,tb_top)
$fsdbDumpon()
发表于 2018-3-26 22:42:24 | 显示全部楼层
遇到同样的问题了
发表于 2021-12-10 21:05:49 | 显示全部楼层


orlye 发表于 2013-12-10 11:11
应该先指定fsdbDumpfile。否则,一旦执行fsdbDumpvars,就开始保存波形了,但这时还没有指定Dumpfile,就使 ...


大神,正解
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 10:06 , Processed in 0.018382 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表