在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oscillator_cn1

[求助] 求助:关于UVM中uvm_config_db传递config类

[复制链接]
发表于 2013-6-13 11:15:55 | 显示全部楼层
build_phase的执行顺序是top_down的,因此会先执行你的base_test里面的config_db::set,此时tb0.vdo_cfg是null。因此你在sequencer里面get到的也是null。

后面会执行tb0的build_phase,此时vdo_cfg才会被new。

if(!config_db::get)这个条件只会判断是否get成功,但是不会判断get到的东西是否为null。
发表于 2013-6-14 18:16:28 | 显示全部楼层
就是开始的时候路径不对,你connect以后就正确了。
发表于 2013-8-1 22:34:13 | 显示全部楼层
留个名,我以后也要用uvm
发表于 2018-3-1 00:44:43 | 显示全部楼层
最近在看uvm的资料,谢谢
发表于 2018-3-3 08:53:08 | 显示全部楼层
agree ltelite
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 22:58 , Processed in 0.022891 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表