在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9605|回复: 12

[求助] 请教,questasim 10.a能否仿真uvm1.1?

[复制链接]
发表于 2012-3-27 21:43:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教,questasim 10.a能否仿真uvm1.1?
发表于 2012-3-30 17:03:00 | 显示全部楼层
可以.
发表于 2012-4-1 15:00:31 | 显示全部楼层
可以仿uvm1.1 但是需要自行去编译uvm库
对于更低版本的uvm ,questasim10.0a应该已经编译好了,自己不用编译,直接导入uvm_pkg库就行
可以通过modelsim.ini搜搜看已经编译好的uvm库是什么版本
发表于 2012-4-5 09:51:54 | 显示全部楼层
发表于 2012-8-26 12:00:32 | 显示全部楼层
正在试验
发表于 2012-9-11 19:55:05 | 显示全部楼层
同问 正在试验
发表于 2012-11-9 17:04:08 | 显示全部楼层
应该可以
发表于 2013-8-17 14:54:15 | 显示全部楼层
学习,学习
发表于 2013-9-8 22:34:28 | 显示全部楼层
学习,学习
发表于 2013-9-18 13:05:45 | 显示全部楼层
必须可以的。questasim6.6d都已经可以了,只需要把安装目录下的questasim/verilog_src/uvm-1.1c下面的包编译一下就可以了。
下面可以根据自己安装的路径改一下,用makefile或者shell都可以
vlog -work xxx -sv +define+CVC -novopt +incdir+ ..../questasim_6.6f/questasim/verilog_src/uvm-1.1/src \
..../questasim_6.6f/questasim/verilog_src/uvm-1.1/src/uvm_pkg.sv
gcc -m64 -fPIC -DQUESTA -g -W -shared -I. -I..../questasim_10.1d/10.1d-p2/questasim/include ...../questasim_10.1d/10.1d-p2/questasim/verilog_src/uvm-1.1/src/dpi/uvm_dpi.cc -o uvm_dpi.so
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 04:38 , Processed in 0.040765 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表