在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4658|回复: 13

[求助] 关于Modelsim10.1C仿真问题

[复制链接]
发表于 2012-9-19 09:49:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人写好了设计模块以及测试模块。然后再版本6.6C中已经跑过,验证结果正确。现在安装了新版本10.1C,结构仿真通过,再work下选择仿真的时候,弹出的VSIM对话框没有信号,而且顶层应该包含很多子模块也没有在VSIM中显示出来。这导致的结果就是我不能将设计中的各种信号加入到wave中来run all ,也就不能看波形。有大神能帮我解决吗?谢谢啦!
发表于 2012-9-21 19:41:02 | 显示全部楼层
提示什么错误了吗?
发表于 2012-9-26 15:05:30 | 显示全部楼层
在modelsim.ini加上
VoptFlow = 0
就可以了。
 楼主| 发表于 2012-9-27 09:56:14 | 显示全部楼层
回复 2# yuefengl


    什么错误都没有!就是sim对话框里没有信号!使得我无法将设计中的信号加入到wave窗口中!
 楼主| 发表于 2012-9-27 09:57:09 | 显示全部楼层
回复 3# peppermint


    是在您说的文本下,用记事本编辑然后再里面加入您说的条件吗?
 楼主| 发表于 2012-9-27 10:00:13 | 显示全部楼层
回复 3# peppermint


    哦,我查了您说的配置,知道怎么弄了。我试试看!
发表于 2012-11-21 00:22:56 | 显示全部楼层
bd~~~~
发表于 2012-11-29 19:12:49 | 显示全部楼层
嗯,学习一下
发表于 2012-12-1 19:35:34 | 显示全部楼层
支持c吗???????????????
发表于 2013-3-26 14:54:40 | 显示全部楼层
13456
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 21:24 , Processed in 0.042898 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表