在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] primetime安装问题求助 attach_img tyxuanyuanlx 2012-12-4 52066 tyxuanyuanlx 2013-9-17 12:58
[求助] 求问计数器计数值怎么确定的? jjjx101 2013-9-12 51839 浩然若枫 2013-9-17 10:23
[求助] 扫描链插入问题 hzdzkjly 2013-9-10 45261 hzdzkjly 2013-9-17 09:18
[求助] 关于quartusII 中对工程进行编译综合的各个步骤的问题 attach_img stormpetrel 2013-9-16 13206 HADIST 2013-9-17 08:59
请教设计正弦波发生器的问题? jtjtjt137 2006-11-1 13517 elmh 2013-9-17 01:58
[求助] IC设计各个流程分别产生什么后缀的文件? 清葱黏梢 2013-9-12 33712 wide_road 2013-9-16 21:19
[求助] 求助 verilog 串并转换 jxaa002501 2013-9-16 11176 chen851112 2013-9-16 13:47
[求助] ISE 与matlab联合仿真问题 879028045 2013-9-16 11359 chen851112 2013-9-16 13:42
[讨论] 五人投票器 attach_img 梦中巴士 2013-9-16 32118 立青 2013-9-16 13:02
[讨论] DC时序报告中的“#” attach_img harejavahill 2013-9-13 11786 harejavahill 2013-9-16 10:23
[求助] 怎样正确使用DC对时钟IO进行约束 RaxT 2013-9-16 01767 RaxT 2013-9-16 09:47
[讨论] SD_SPI verilog duchuanchuan 2013-9-11 32118 kka 2013-9-16 00:13
[求助] 记录器方案如何制定? sanfeng216 2013-9-15 01334 sanfeng216 2013-9-15 21:49
[求助] 影响流水线速度的因素? 清葱黏梢 2013-9-15 01266 清葱黏梢 2013-9-15 16:13
[求助] 有没有人做过FPGA通过千兆以太网和PC传数据? roxhit 2010-8-8 73217 lwukang 2013-9-15 11:01
[求助] Xilinx EDK生成synthesis.sh文件 ino1988 2013-9-4 11515 ino1988 2013-9-13 18:30
[求助] 请问这个Warning是什么原因 killer5 2013-9-13 23905 加油99 2013-9-13 17:53
[求助] Silvaco TCAD nmos击穿仿真 castlife 2013-4-29 23860 小辉辉 2013-9-13 17:12
[其它] 看到eetop这个IT资讯的帖子被笑道了 xiaojia102003 2013-9-13 22367 风清止殇 2013-9-13 13:31
[求助] 微电子器件做研究非得去学科前五名的学校吗? aka 2013-9-10 62235 aka 2013-9-12 21:55
[求助] 求解答DC中write verilog时把文件单个写出来 kingbirdmax 2013-9-12 12054 orlye 2013-9-12 21:07
[资料] XILINX EDK: 以太网调试错误求助(跪求大神) attach_img jiandalipengbo 2013-9-11 22052 574920045 2013-9-12 17:13
[求助] LCD1602 语法没错误,但都是警告。。新手小弟求帮忙 jjjx101 2013-9-12 03613 jjjx101 2013-9-12 11:54
[求助] modelsim 安装时闪退是什么原因 alfredfun 2013-9-6 54145 hllyh 2013-9-12 09:36
哪里可以找到LEF/DEF文件格式的详细信息? john-deng 2007-10-6 511994 HADIST 2013-9-12 00:05
[求助] 如何在MMMC mode底下做unloadTimCon kunfun2002 2013-9-11 12729 walterzeng 2013-9-11 22:11
[求助] [提问] 关于系统设计的时钟问题 lybuct 2013-9-11 32193 hiloco 2013-9-11 20:43
[求助] Encounter 和 PrimeTime看到的timing path不一致 kunfun2002 2013-9-11 01573 kunfun2002 2013-9-11 19:38
[求助] zynq 7000 boot rom 配置 giniflying 2013-9-11 01740 giniflying 2013-9-11 17:42
[求助] 时钟负载的问题 kingbirdmax 2013-9-11 11868 kingbirdmax 2013-9-11 15:06
[求助] xilinx的sdram参考设计 574920045 2013-9-11 11386 xiaojia102003 2013-9-11 13:51
[求助] opencores issue sdx0205 2013-9-11 01420 sdx0205 2013-9-11 10:20
[求助] wave 仿真 chunjiahua 2013-9-9 62205 bright888 2013-9-10 21:04
[求助] 在ISE13.4中使用clocking wizard创建一个分频模块没有输出信号 158068672 2013-9-3 18751 shiyinjita 2013-9-10 19:51
[求助] Debussy跑仿真,Simulator设置问题请教~ attach_img jiaslbang 2013-9-10 01715 jiaslbang 2013-9-10 19:10
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 12:26 , Processed in 0.083397 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块