在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4913|回复: 9

[求助] matlab中的文件如何导入到ISE中然后进行仿真什么的?

[复制链接]
发表于 2014-4-10 20:19:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
希望有老师帮忙解惑!!万分感谢!!
 楼主| 发表于 2014-4-10 20:24:39 | 显示全部楼层
自己顶一下 ,不要沉啊!
发表于 2014-4-10 20:50:23 | 显示全部楼层
回复 2# 648590548


   写入test文本,modelsim导出就可以了
发表于 2014-4-12 16:12:06 | 显示全部楼层
用system generator 产生HDL,或者产生IP核,然后集成到ISE中。
发表于 2014-4-20 23:27:12 | 显示全部楼层
在testbench中 以文件的形式读取
发表于 2014-4-21 16:39:33 | 显示全部楼层
楼上正解,不过是仿真的时候可以这样做!如果要写代码,还是要利用Matlab生存.coe文件,然后将文件写入到由BRAM生成的ROM中去,这样实际FPGA跑起来的时候就可以直接读取数据了!
发表于 2014-4-21 21:34:24 | 显示全部楼层
回复 1# 648590548 写testbench吧,将文件读入,网上这方面的资料很多的,可以查查
发表于 2014-4-26 20:20:00 | 显示全部楼层
用$readmemh()或$readmemb()读文件
发表于 2014-4-26 20:56:24 | 显示全部楼层
學習學習
发表于 2014-4-27 08:12:09 | 显示全部楼层




    是的,还有ROM中存储的数据以及滤波器等IP核所需要的系数等,matlab中将数据写入文本,在FPGA中导入或者在仿真时导入。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 10:03 , Processed in 0.033732 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表